马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
用的是HHNEC 0.35um的工艺:
以下是我DC的综合设置:
create_clock [get_ports CK] -period 60 -waveform {0 30}set_clock_latency 5 [get_clocks CK]
set_clock_uncertainty -setup 1 [get_clocks CK]
set_clock_transition -rise 3 [get_clocks CK]
set_clock_transition -fall 3 [get_clocks CK]
在ICC中修改设置如下:
create_clock [get_ports CK] -period 60 -waveform {0 30}
set_clock_latency 5 [get_clocks CK]
set_clock_uncertainty 1 [get_clocks CK]
set_clock_transition -rise 3 [get_clocks CK]
set_clock_transition -fall 3 [get_clocks CK]
不论如何修改总有hold time违例,除非只设置setup选项,即修改为set_clock_uncertainty -setup 1 [get_clocks CK]后仍有轻微的0.001左右的违例;
但只要一加上set_clock_uncertainty -hold 0.1 [get_clocks CK]选项(即便只有0.1),在place时软件就会拼命增加buffer来增加hold time,无法通过hold time check而down掉。
1、在设置方面有何经验值可以推荐
2、我用的是ICC,ICC必须用tlups文件方可布线。以下是我的步骤和设置,是否是因为缺少tlup-min文件,导致的hold time的check条件完全不对??
因为CZ6H+为0.35um工艺,故提供的模型库文件.tf文件在ASTRO软件中即可布线。把CZ6H+\RC Extract Run-set\cz6hplus_starrcxt_rcx_v2[1].0\TME9K_v2.0下的cz6hplus_5.0v_tm9k_1p3m_starrcxt_rcx.itf文件拷贝到../ref/tlup下,包含上一级目录下的mapping 文件:mappingfile_1p3m_v2.0,并在../ref/tlup下,终端运行命令:grdgenxo -itf2TLUPlus -i cz6hplus_5.0v_tm9k_1p3m_starrcxt_rcx.itf -o CZ6HPLUSgrdgen.tluplus
set tech_file " ../ref/tech/CZ6H+.9K.3AL.tf" set tlup_map "../ref/tlup/mappingfile_1p3m_v2.0" set tlup_max "../ref/tlup/CZ6HPLUSgrdgen.tluplus" #set tlup_min "../ref/tlup/cb13_6m_min.tluplus" //由itf文件生成过来,故只有一个tluplus文件
各位大神们,帮帮忙了!
|