在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2637|回复: 6

[求助] 功能仿真成功,实际测量失败

[复制链接]
发表于 2013-5-17 17:27:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
代码如下:




  1. //利用TLV5613来画方波,通过控制写控制口的电平来调节方波的周期以及占空比;
  2. module square(clk,rst_n,out,state,we,A0,ldac);
  3.         input clk,rst_n;
  4.         output wire [7:0] out;
  5.         output [3:0] state;  //从高位到低位,分别控制A1,SPD,片选以及PWD;
  6.         output reg we=1; //控制TLV5613的写使能;
  7.         output reg  A0;//地址控制线A0
  8.         output reg ldac;//输出控制线ldac
  9.         reg rst;
  10.         reg [4:0] counter;//从0计数到26,不断循环
  11.        
  12.         assign state=4'b0101;
  13.         assign out=8'b11111111;
  14.        
  15.         always @(posedge clk)
  16.                 rst=rst_n;

  17.         always @(posedge clk or negedge rst)
  18.                 if(!rst)
  19.                         counter=0;
  20.                 else if(counter<26)
  21.                         counter=counter+1;
  22.                         else
  23.                                 counter=0;
  24.        
  25.         always @(posedge clk or negedge rst)
  26.                 if(!rst)
  27.                         A0=0;
  28.                 else if(counter==0)
  29.                         A0=A0+1;
  30.                         else A0=A0;
  31.                  
  32.         always @(posedge clk or negedge rst)
  33.                 if(!rst)
  34.                         we=1;
  35.                 else if(counter==0)
  36.                         we=0;
  37.                         else
  38.                                 we=1;
  39.        
  40.         always @(posedge clk or negedge rst)
  41.                 if(!rst)
  42.                         ldac=1;
  43.                         else if(counter<2)
  44.                                 ldac=1;
  45.                                 else
  46.                                         ldac=0;
  47.        
  48.        
  49.        
  50. endmodule


复制代码


综合之后的RTL图为:
捕获.JPG


用Active-HDL仿真之后的波形为:
捕获.JPG


但是在实际的电路中,在we,A0,ldac这几个端口都没有测量得到想要的波形,而都只是一个大小为3.3V的直流电压。
求指点!
发表于 2013-5-17 19:15:55 | 显示全部楼层
看看clock和reset信号对不对
发表于 2013-5-17 20:46:26 | 显示全部楼层
先把只会用“=”的习惯改过来。
发表于 2013-5-19 12:58:31 | 显示全部楼层
同三楼,全阻塞赋值,还用一堆靠counter控制的耦合逻辑,还只做功能仿真,能对了才见鬼了........
发表于 2013-5-20 15:36:32 | 显示全部楼层
动手练习没问题,但还是先看看书吧
 楼主| 发表于 2013-5-21 17:21:24 | 显示全部楼层
回复 5# wat__fir


   谢谢你的忠告
发表于 2013-5-23 16:55:35 | 显示全部楼层
回复 4# changan1216
我想问一下 啥叫耦合逻辑?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条


小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-23 04:12 , Processed in 0.029079 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表