在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 12891|回复: 23

[求助] SOS~~~数字后仿流程指导~

[复制链接]
发表于 2013-5-9 15:12:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
RT,想请教一下数字后仿的流程,具体请教的是对于数字后仿需要的文件有哪些?
我打算使用的工具是VCS+Verdi,具体说来就是VCS编译跑仿真,Verdi查看波形修正代码~
因为本人菜鸟,不知道后仿的流程是如何实现的,想问问看大家的想法和做法;
说说自己对前仿的理解,通过编写RTL代码,和testbench来查看波形或者具体输出一些数据查看功能的正确性,但是后仿需要的文件这边我的理解是需要PR后生成的verilog netlist和xxxx.spef和testbench这三个文件,感觉不太对,才问问,望大家不舍指教啊~~拜谢
发表于 2013-5-9 16:02:24 | 显示全部楼层
后仿流程:需要PR后的netlist and spef 文件,然后用两者来做PT分析, PT生成SDF, 然后仿真的时候读入SDF,添加正确的激励观察输出是否是你的设计结果
 楼主| 发表于 2013-5-9 16:25:17 | 显示全部楼层
回复 2# 教父


    谢谢大侠的回复,想就最后一步请教一下,关于仿真的时候如何将SDF和testbench联合一起加入VCS进行仿真有没有什么指令呢?能简单的举个例子吗?谢谢啦
发表于 2013-5-10 10:53:44 | 显示全部楼层
仿真环境和前仿真一样, 多的一样就是读入SDF,你可以再网上搜索一下语法
 楼主| 发表于 2013-5-10 13:27:34 | 显示全部楼层
回复 4# 教父


    好的,谢谢啦~~
 楼主| 发表于 2013-5-13 10:02:20 | 显示全部楼层
回复 4# 教父


    是这样的,我现在的工作环境是编译仿真用的VCS,然后查看波形调试代码用的是Verdi;
现在想问问,就是跑VCS有办法产生适合Verdi读取或者说是查看的.fsdb的波形文件吗?如果有具体的指令又是什么?想知道如何运行起VCS输出想要的.fsdb文件,谢谢了~~
发表于 2013-5-13 13:12:02 | 显示全部楼层
Verdi 能读取的波形格式有几种哈, 我用的都是NC_sim, 你说的VCS 我没有用过。 怎么产生波形我也不大了解的。 你可以再网上搜索看看
发表于 2013-5-13 14:03:32 | 显示全部楼层
用VCS的话,可以直接dump fsdb的文件.
随便搜搜,例子很多的

    Verilog code to dump:

    `ifdef DUMP
    initial
    begin
            $dumpfile("verilog.dump");
            $dumpvars;
    end
    `endif

    `ifdef DUMPFSDB
    initial
    begin
            $fsdbDumpfile("verilog.dump.fsdb");
            $fsdbDumpvars;
    end
    `endif

    Compile using VCS

    vcs -R -V -P $VERDI_HOME/share/PLI/vcs/LINUXAMD64/fsdb_vcs.tab $VERDI_HOME/share/PLI/vcs/LINUXAMD64/fsdb_vcs.a +define+DUMPFSDB verilog.v

    Run Verdi with fsdb

    Verdi -top top -ssf verilog.dump.fsdb &
 楼主| 发表于 2013-5-14 09:11:23 | 显示全部楼层
回复 7# 教父

嗯,但是默认的好像是fsdb,不过还是感谢你的回复,谢谢
 楼主| 发表于 2013-5-14 09:16:13 | 显示全部楼层
回复 8# lordlion

首先感谢你的细致回复,不过还是有点儿模糊,就是我这边听说过.fsdb文件但是不知道还有一个dump fsdb;想具体请教一下,这两个的不同,你写的那个ifdef是需要加入到我的testbench里对吧?
你应该看来我之前回复的我的一个工作环境,你的做法跟我的一致,也是用VCS跑仿真编译,然后在verdi里查看波形文件,所以回归到第一个问题,就是这个fsdb和你后面的dumpfsdb有什么区别呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-11 23:46 , Processed in 0.035208 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表