在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7124|回复: 10

[求助] 请教dc中verilog代码参数传递的问题

[复制链接]
发表于 2013-5-1 17:31:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
实际verilog中利用参数传递对例化的子模块进行了wire类型的赋值,例子如下:module A;
...
B #(0) u0_B(...);
B #(1) u1_B(...);
...
endmodule


module B(...);
parameter PARA=0;
wire [1:0] sig=PARA;
...
endmodule




dc综合有报错,dc是否有相关的参数可以设置解决??
发表于 2013-5-3 22:28:23 | 显示全部楼层
报什么错?
发表于 2013-5-4 10:21:49 | 显示全部楼层
这种是可以综合的, 你把你的报告发出来看看, 还有就是你可看synopsys工具的帮助文档, 我记得在论坛里面有一个synopsys所有工具的文档,你可以参照看看
发表于 2013-5-4 11:47:59 | 显示全部楼层
应该是其它问题造成的报错,传参数不会有问题
发表于 2013-5-5 10:57:49 | 显示全部楼层
应该是你设置的约束有问题,dc工具处理数据根据你设置的条件运行的
发表于 2013-5-5 11:06:52 | 显示全部楼层
哦看到你的给的那个模块A里面有设置时间延迟,DC不能处理在主模块中的这样的延迟。你把上面的延迟去掉试试看。
发表于 2013-5-5 11:25:15 | 显示全部楼层
analyze+elaborate,不要read_verilog
发表于 2013-5-5 20:47:20 | 显示全部楼层
直接传参数  不行,以前有个设计 是直接传的参数,后来都改啦
可能有设置的选项
发表于 2013-5-8 14:29:04 | 显示全部楼层
报什么错,例化B的时候参数是寄存器吗?应该为常数值
发表于 2015-12-23 15:08:46 | 显示全部楼层
问题解决了吗 我也遇到了相同问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 15:18 , Processed in 0.023315 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表