在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 11494|回复: 11

[求助] VCS下怎么查看SV代码中的program波形

[复制链接]
发表于 2013-3-20 14:44:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟菜鸟一个。使用VCS仿真,生成FSDB及VCD文件,可是无论是verdi还是DVE,都无法看到program块下的波形。
求助呀,大家怎么debug的呢?
发表于 2013-3-21 17:53:41 | 显示全部楼层
可以打印log来debug, 需要波形的信号就不要放在这里面的啥
发表于 2014-4-16 14:47:23 | 显示全部楼层
回复 1# oulin99

使用verdi将fsdb加载进来,就可以添加波形查看了
发表于 2014-5-2 11:51:30 | 显示全部楼层
查看一下VCS -help
发表于 2014-5-4 14:34:54 | 显示全部楼层
program里的东西好像是没法dump成波形的……
发表于 2014-5-16 09:18:18 | 显示全部楼层
回复 5# orlye


   那要是拿Program仿真的module的端口,能不能dump啊?
发表于 2014-5-16 13:33:42 | 显示全部楼层
回复 6# loecd4346


    module端口当然可以。
发表于 2014-5-22 00:53:31 | 显示全部楼层
目前还没有工具支持program波形的dump,只能display来debug了,据说最新版本的verdi可以支持program以及UVM相关的波形dump,但是我们公司现在用的verdi 201307个人觉得已经很latest了还无法支持,期待debug利器verdi的更新
发表于 2014-6-4 00:37:55 | 显示全部楼层
good avatars around! )
发表于 2014-6-10 18:52:17 | 显示全部楼层
verdi xxx.v -ssf xxx.fsdb
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 14:08 , Processed in 0.027666 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表