在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: oulin99

[求助] VCS下怎么查看SV代码中的program波形

[复制链接]
发表于 2014-7-15 16:39:13 | 显示全部楼层
回复 1# oulin99


    请问你用VCS是怎样生成.fsdb格式的波形的啊???求指点!!!
发表于 2014-7-16 21:46:47 | 显示全部楼层
动态变量是没有办法dump下来的,升级也没有用,就像一个信号一会儿存在,一会儿就没了,你让波形怎么记~~
vcs有系统函数可以当你调用时记录一下变量值,但是也是调用一下记录一下,类似于软件中的断点,你可以运行到断点时看看你的变量的值,但是运行的时候可没有记录变量变化的东东哦~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 16:15 , Processed in 0.017248 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表