在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4653|回复: 2

[解决] verilog 仿真时间求余数问题

[复制链接]
发表于 2013-3-8 14:25:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教一个问题。 仿真模型中有一个时钟, 我在时钟的上升沿用$realtime 函数取此时此刻的时间,然后除以固定值(200)求余。 假设时钟周期为200ps, 上升沿都在(200*n+100)时刻(n为整数),理论上每次求余返回值都应该为100, 前面很长一段时间是正确的,但是突然间在中间出错, 余数变为很大的一数值。就这样一直错下去, 但是上升沿时刻都是有规律的以200递加的, 求指点 。 谢谢
发表于 2013-3-11 08:05:00 | 显示全部楼层
$realtime赋值的那个变量溢出了,检查一下,变量的位宽,32位还是64位。。。。
 楼主| 发表于 2013-3-11 20:43:59 | 显示全部楼层
解决了, 最开始的变量我定义的是integer, 后来改成time类型,就解决了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 02:35 , Processed in 0.019151 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表