在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7361|回复: 6

[求助] TimeQuest无错,时序仿真出现hold错误,如何解决

[复制链接]
发表于 2013-1-18 13:57:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
功能仿真没有错误(包括不加sdc的网表文件仿真),TimeQuest没有报错,但是时序仿真时,ModelSim报了hold错误,并在报错时间后面一点,大部分信号就变红无效了。下面是报错信息:

# ** Error: e:/altera/80/quartus/eda/sim_lib/cycloneii_atoms.v(5287): $hold( posedge clk &&& nosloadsclr:255510282 ps, datain:255510283 ps, 266 ps );
#    Time: 255510283 ps  Iteration: 1  Instance: /tb/inst/\arm9_core_inst|arm9_compatiable_code_inst|code_und\
# ** Error: e:/altera/80/quartus/eda/sim_lib/cycloneii_atoms.v(5287): $hold( posedge clk &&& nosloadsclr:255510248 ps, datain:255510297 ps, 266 ps );
#    Time: 255510297 ps  Iteration: 1  Instance: /tb/inst/\arm9_core_inst|arm9_compatiable_code_inst|sum_m[0]\
# ** Error: e:/altera/80/quartus/eda/sim_lib/cycloneii_atoms.v(5290): $hold( posedge clk &&& sloaddata:255510273 ps, sdata:255510424 ps, 266 ps );
#    Time: 255510424 ps  Iteration: 0  Instance: /tb/inst/\arm9_core_inst|arm9_compatiable_code_inst|reg_ans[0]\
# ** Error: e:/altera/80/quartus/eda/sim_lib/cycloneii_atoms.v(5287): $hold( posedge clk &&& nosloadsclr:255510274 ps, datain:255510496 ps, 266 ps );
#    Time: 255510496 ps  Iteration: 0  Instance: /tb/inst/\arm9_core_inst|arm9_compatiable_code_inst|cmd[0]\

请各位大神指点指点
 楼主| 发表于 2013-1-18 18:32:26 | 显示全部楼层
已解决
发表于 2013-1-19 23:31:33 | 显示全部楼层
发表于 2013-12-25 13:06:00 | 显示全部楼层
哎,不给个说法,是不是仿真的频率太高,信号hold slack不足问题,我现在遇到类似情况,想确认一下
发表于 2013-12-25 13:57:19 | 显示全部楼层
哎,不给个说法,是不是仿真的频率太高,信号hold slack不足问题,我现在遇到类似情况,想确认一下
发表于 2016-4-19 18:37:06 | 显示全部楼层
回复 2# 313008234 :怎么解决的?什么问题?
发表于 2022-4-11 11:12:10 | 显示全部楼层
主要是后仿中,PLL的clk输出与LOCKED输出不同步。需要将locked输出复位后面的电路需要延迟几拍就可以了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 23:39 , Processed in 0.027911 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表