在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2110|回复: 3

[求助] TimeQuest约束

[复制链接]
发表于 2013-1-17 15:24:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
QQ截图20130117150240.jpg
我在CYCLONE II上做了一个实验,工具Quartus 11.1,RTL简单如图片
我写了几行约束::::
## ------------ 约束时钟 --------------------------------------------------------
create_clock -name {clk} -period 5.000 -waveform { 0.000 2.500 } [get_ports {clk}]
## ------------ 约束生成时钟 --------------------------------------------------------
create_generated_clock -name clk_200m -source [get_pins {PLL0|altpll_component|pll|inclk[0]}] -duty_cycle 50.000 -multiply_by 1 -master_clock {clk} [get_pins {PLL0|altpll_component|pll|clk[0]}]
## ------------ 约束输入延时 --------------------------------------------------------
set_input_delay -max -clock [get_clocks {clk}]  3.000 [get_ports {idata*}]
set_input_delay -min -clock [get_clocks {clk}]  2.500 [get_ports {idata*}]
set_input_delay -max -clock [get_clocks {clk}]  3.000 [get_ports {en}]
set_input_delay -min -clock [get_clocks {clk}]  2.500 [get_ports {en}]
在输入延时这里,我疑惑get_clocks 这里是应该取端口的时钟clk,还是取锁相环输出来的时钟clk_200m呢?内部寄存器用的是clk_200m来采样的;
还有,上面这些输入已经约束set_input_delay了,是否还需要用Set Maximum Delay和Set Minimum Delay来约束,因为我发现idata都已经满足时序要求,
但是en没有满足
发表于 2013-2-5 15:06:44 | 显示全部楼层
这样试一试:
产生一个虚拟时钟v_clk:
create_clock -name v_clk -period 5.000
在输入延时里,用v_clk 。
发表于 2013-2-5 15:53:09 | 显示全部楼层
回复 3# chen851112


    我猜楼主只是想用这段代码研究一下TimeQuest时序约束。
发表于 2013-3-9 11:27:58 | 显示全部楼层
A家的东西不懂,嘿嘿
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 04:20 , Processed in 0.022563 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表