在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7988|回复: 3

[讨论] RAM能够这样操作吗???

[复制链接]
发表于 2013-1-14 15:43:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
一个输入端口宽度为32位的RAM,是否可以向该RAM每次只写入8-bit的数据呢???如可以,那么这个输入的辅助逻辑应该是怎样的呢???求教!!!
发表于 2013-1-14 17:15:19 | 显示全部楼层
你可以用4块8位的RAM坐并联,然后用个sel[3:0]来选择输入,这样能在一个CLK能完成

其他的办法那只有 先读后先写了.  就是把数据读出来先,然后用  逻辑与或者或来清除或保留那些位.
 楼主| 发表于 2013-1-14 17:23:47 | 显示全部楼层
回复 2# yp19890718
确实!感谢非常!!!
发表于 2013-1-14 18:12:10 | 显示全部楼层
memory compile时加byteena字节使能端口
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 06:42 , Processed in 0.023023 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表