在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3111|回复: 0

高手帮帮忙,看看是什么原因,谢谢!

[复制链接]
发表于 2005-12-5 11:04:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
[这个贴子最后由ag2008在 2005/12/05 11:05am 第 1 次编辑]

刚学vhdl,里面的语法和数据结构正的很难弄,并行语句串行语句也很混淆,那位高手能帮小弟解答一下vhdl的语句的运行过程,下面有2个小程序

用的是quartus2仿真
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
ENTITY study_try IS
PORT
(
clk: INSTD_LOGIC;
outpututstd_logic_vector(3 downto 0)
);
END study_try;
ARCHITECTURE progress OF study_try IS
signal outs:std_logic_vector(3 downto 0);
BEGIN
process(clk)
begin
if(clk'event and clk='1') then
output<=outs;--注意这
outs<=outs+1;--
end if;
end process;
end progress;
上面这个程序和下面这个程序有什么不同呢?
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
ENTITY study_try IS
PORT
(
clk: INSTD_LOGIC;
outpututstd_logic_vector(3 downto 0)
);
END study_try;
ARCHITECTURE progress OF study_try IS
signal outs:std_logic_vector(3 downto 0);
BEGIN
process(clk)
begin
if(clk'event and clk='1') then
outs<=outs+1;
output<=outs;--注意这
end if;
end process;
end progress;
不知道为什么这2个程序仿真以后的仿真结果是一样的,
而且为什么output的仿真结果的第一个数据都是0001,还有
就是如果在定义outs时用signal outs:std_logic_vector(3 downto 0):="0101";
赋值怎么没有用,output的仿真波形一点也没变,
那位高手帮帮忙啊!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-16 01:31 , Processed in 0.016863 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表