在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4273|回复: 5

[新手求助]谁有16*16乘法器的verilog 代码啊

[复制链接]
发表于 2005-12-20 20:59:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
试着用booth算法去做么总觉得有问题,想找一个参考参考,谢谢
发表于 2006-3-26 21:28:56 | 显示全部楼层

[新手求助]谁有16*16乘法器的verilog 代码啊

module mult_16(X,Y,Result);
input [15:0] X,Y;
output [31:0] Result;
assign Result=X*Y
endmodule
新手,不知道对不
发表于 2006-3-30 16:21:28 | 显示全部楼层

[新手求助]谁有16*16乘法器的verilog 代码啊

佩服楼上的。五体投地。
发表于 2006-6-19 09:41:50 | 显示全部楼层

[新手求助]谁有16*16乘法器的verilog 代码啊

现在Verilog支持有符号数了
我用B-W算法编的程序在FPGA上试了
感觉和直接的赋值差不多消耗硬件
发表于 2014-3-8 21:03:35 | 显示全部楼层
回复 2# keenray


   。。。。。。
发表于 2014-3-9 15:19:40 | 显示全部楼层
感觉就直接用* 吧  软件自己综合就好
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 10:27 , Processed in 0.021572 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表