在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7463|回复: 2

[求助] Systemverilog中流操作符问题

[复制链接]
发表于 2012-11-30 20:03:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在Systemverilog中用一下流操作符,请高手帮忙看下。谢谢


class Transaction;


bit [31:0] addr,crc,data[8];


static int count=0;



function void pack(ref byte bytes[40]);


bytes={>>{addr,crc,data}};


endfunction


function Transaction unpack(ref byte bytes[40]);


{>>{addr,crc,data}}=bytes;


endfunction


endclass: Transaction


////////////////////////////////////////////////////////
Transaction tr,tr2;
byte b[40];

initial begin

    ...
    ...
    tr.pack(b);
   ....
  ....

最后出现了下面的错误,请高手指教!谢谢
Error-[IUDA] Incompatible dimensions
pack.sv, 20
  Incompatible unpacked dimensions in assignment
  Arrays with incompatible unpacked dimensions  cannot be used in assignments,
  initializations and instantiations.
发表于 2012-11-30 23:20:36 | 显示全部楼层
因为非合并数组的内容存储在不连续的内存空间里,所以一般不能使用流操作符,可以把非合并数组的每一个元素单独拿出来使用,或者赋值给一个合并数组,也可以解决。
 楼主| 发表于 2012-12-1 23:46:47 | 显示全部楼层
回复 2# gaurson


谢谢   是那个问题。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 12:07 , Processed in 0.020815 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表