|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
我的分频电路是用计数器写的,最后综合出来是个加法器,但是没有时钟输出。
综合时的约束我是一开始我是这样写的:
create_clock [get_ports g_clk] -name g_clk -period 10 -waveform {0 5}
create_generated_clock -name g_clk -source [get_ports g_clk] -divide_by 10 [get_ports l_clk]
然后综合时报错,说 Can't find clock 'l_clk' in design 'clock_gen'.
之后网上看到说红体标出的部分要写成综合后的pin脚,于是改成:
create_generated_clock -name g_clk -source [get_pins U16/Z] -divide_by 10 [get_ports l_clk]
还是报错说:Can't find object 'U16/Z' in design 'clock_gen'.
所以求教大神们,该怎么写约束能正确地综合。
附上verilog代码:
`timescale 1ns/10ps
module clock_gen(rst, l_clk, g_clk);
input rst, g_clk;
output l_clk;
reg l_clk;
reg[7:0] j;
//counter
always @(posedge g_clk or negedge rst)
if(!rst)
j <= 0;
else if(j == 9)
j <= 0;
else
j <= j + 1;
//clock generation
always @(posedge g_clk or negedge rst)
if(!rst)
l_clk <= 0;
else if(j == 9)
l_clk <= ~l_clk;
endmodule |
|