在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5458|回复: 9

[求助] 关于OCV算法在PT中的应用

[复制链接]
发表于 2012-11-15 10:57:05 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
OCV和BC-WC模式的区分是不是只在icc中啊?

对于以上两种方法的设计,在之后pt中的分析方法有无区别呢?
也有OCV和BC-WC之分吗?

求助各位大牛!
发表于 2012-11-15 17:19:20 | 显示全部楼层
在pt中也是同样有区别的
 楼主| 发表于 2012-11-15 17:37:07 | 显示全部楼层
回复 2# applecinu


    就是说,icc中用ocv做的设计,在pt中就用OCV分析?

这样的话PT中需要同时读入max和min的库吗?怎么读呢?是不是有专用的ocv命令啊?
发表于 2012-11-16 14:35:53 | 显示全部楼层
OCV不需要同时读入max和min的库,
每次读一个库,用OCV做STA,
然后再换另一个库,,,
 楼主| 发表于 2012-11-16 16:51:54 | 显示全部楼层
回复 4# 陈涛


    版主大牛的意思是这个时候就只通过derate来当做悲观量吗? 像这样:

1.SLOW LIB SESSION:
1.1.setup
     launch:   slowcell,+derate
     capture: slowcell,-derate
1.2.hold
     launch:   slowcell,-derate
     capture: slowcell,+derate

2.FAST LIB SESSION:
1.1.setup
     launch:   fastcell,+derate
     capture: fastcell,-derate
1.2.hold
     launch:   fastcell,-derate
     capture: fastcell,+derate

可是在icc这种是这样子:
1.ICC
1.1.setup
     launch:   slowcell,+derate
     capture: fastcell,-derate
1.2.hold
     launch:   fastcell,-derate
     capture: slowcell,+derate

如果事实像上面写的这么用的话,是不是pt分析比icc做的放松了些?
发表于 2012-11-16 17:15:30 | 显示全部楼层
你确定ICC里面是那么做吗?
发表于 2013-1-8 22:24:24 | 显示全部楼层
在用OCV做STA时,假设是在某一个corner下做分析:
例如:bc_cbest下,在做OCV分析时,应该只是读入一个bc .lib库?
那么哪里又有max/min  delay 之分啊?求指导!!
发表于 2013-1-8 23:16:02 | 显示全部楼层
回复 7# wxcarson


    set_timing_derate
发表于 2013-1-9 00:00:27 | 显示全部楼层
看看pt, sta的manual, 就能理解了,解释起来累
发表于 2018-11-29 17:39:37 | 显示全部楼层
回复 6# 陈涛

版主 不但ICC里面是这样  EDI里面也这样 原文是这样说的
In OCV mode, setup check the software uses the timing delay values from the Max library group for the data and the launch clock network delay. The software uses the delay values from the Min library group for the capturing clock network delay assuming that the clocks are set in propagated mode.
  
In OCV hold check, the software uses the timing delay values from the Min library for the data arrival time and launch clock network delay. The software uses delay values from the Max library for the capturing clock network delay assuming that the clocks are set in propagated mode.  

guide中分别支出了OCV模式下timing delay 是通过那个库获取的
OCV针对的是三条path  分别是launch clock path   capture clock path   data path

上面两段明显就是说这几条path 在不同分析模式下 使用不用的timing library 计算得到

而且还在文档中 操作步骤加入以下命令

Performing Timing Analysis in OCV Mode with Two Libraries And Operating Conditions

1.
Read in the min and max libraries by sourcing the configuration file with the following lines:
set rda_Input(ui_timelib,max) "${libDir}/slow.lib”
set rda_Input(ui_timelib,min) "${libDir}/fast.lib”


第一步操作就是读入 max 和 slow 两个 timing library
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 16:04 , Processed in 0.041368 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表