在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1970|回复: 4

[求助] systemverilog二维数据赋值

[复制链接]
发表于 2012-11-8 11:59:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
module sv_test(o_ocpulse,o_oc_cnt);
parameter V_PULSE_BIT = 16;
input  reg [V_PULSE_BIT-1:0] o_ocpulse [63:0];
output     [V_PULSE_BIT-1:0] o_oc_cnt[63:0];
assign o_oc_cnt = o_ocpulse;
endmodule

如上二维数据的直接赋值在仿真软件编译通不过,有另外什么写法不能改变功能。
发表于 2012-11-8 14:14:33 | 显示全部楼层
问题是unpacked的数组不能作为端口,所以必须要用packed:
input reg [ 63 : 0 ] [V_PULSE_BIT-1:0] o_ocpulse;
output [ 63 : 0 ] [V_PULSE_BIT-1:0] o_oc_cnt;
 楼主| 发表于 2012-11-8 15:58:39 | 显示全部楼层
非常感谢,这两者等效吗。output [ 63 : 0 ] [15:0] o_oc_cnt,这是sv的语法还是verilog新版本的语法,
是表示16位宽的64路输出吗?
 楼主| 发表于 2012-11-8 16:01:55 | 显示全部楼层
第一种方式在modelsim竟是可以编译的,我的仿真器却编译不了,按你的修改后就可以了
发表于 2012-12-21 03:30:12 | 显示全部楼层




    两者从定义上来说不特等效。这个是SV语法。verilog不支持。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-20 07:59 , Processed in 0.019303 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表