|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
代码如下:
- library IEEE;
- use IEEE.STD_LOGIC_1164.ALL;
- use ieee.std_logic_unsigned.all;
- -- Uncomment the following library declaration if using
- -- arithmetic functions with Signed or Unsigned values
- --use IEEE.NUMERIC_STD.ALL;
- -- Uncomment the following library declaration if instantiating
- -- any Xilinx primitives in this code.
- --library UNISIM;
- --use UNISIM.VComponents.all;
- entity module_74193 is
- port(
- A:in std_logic_vector(3 downto 0);
- LDN,UP,DN,CLR:in std_logic;
- BON,CON:out std_logic;
- Q:out std_logic_vector(3 downto 0)
- );
- end module_74193;
- architecture Behavioral of module_74193 is
- signal Qt:std_logic_vector(3 downto 0);
- begin
-
- process(UP,DN,CLR,LDN)
- begin
- if(CLR = '1')then
- Qt<= (others =>'0');
- elsif(LDN = '0')then
- Qt <= A;
- elsif(UP'event and UP ='1')then
- if(Qt = "1111")then
- CON <= '1';
- end if;
- Qt <= Qt + 1;
- elsif(DN'event and DN = '1') then
- if(Qt = "0000")then
- BON <= '1';
- end if;
- Qt <= Qt - 1;
- end if;
- end process;
- Q <= Qt;
- end Behavioral;
复制代码
综合报错:
Xst:827 - "D:/Project/Xilinx Project/My_Own/cpu_for_exercise/cpu_cisc/module_74193.vhd" line 46: Signal Qt cannot be synthesized, bad synchronous description. The description style you are using to describe a synchronous element (register, memory, etc.) is not supported in the current software release.
求助高手指点!! |
|