在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6109|回复: 8

[求助] altera fft ip core 求助(有源代码)

[复制链接]
发表于 2012-9-18 00:11:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
错误时
Error: Can't generate netlist output files because the file "C:/Users/asus/Desktop/test/incremental_db/compiled_partitions/FFT_streaming.root_partition.map.atm" is an OpenCore Plus time-limited file

不知道为什么 其他都没问题  有人给解释一下吗!
`timescale 1ns / 1ps

module FFT_IP( input                                        i_clk,
                           input                                          reset_n,
                          
                           output                                         sink_valid,
                           output        reg                         sink_sop,
                           output        reg                         sink_eop,
                           output                 [1:0]                sink_error,
                           output                                         inverse,
                           output                                         source_ready,
                          
                           output         reg  [9:0]           count,
                           output        reg         [7:0]          sink_real,
                           output        reg         [7:0]          sink_imag,
               
                           output                                        sink_ready,
                           output                [1:0]                source_error,
                           output                                        source_sop,
                           output                                        source_eop,
                           output                                        source_valid,
                           output                [5:0]                source_exp,
                           output                [7:0]                source_real,
                           output                [7:0]                source_imag
                         );
                               
assign inverse = 1'b1;

assign sink_error = 2'b00;

assign source_ready = 1'b1;

//+++++++++++++++++计数+++++++++++++++++++++++++++++++++++
always @ (posedge i_clk or negedge reset_n)            
        if (!reset_n)
                count <= 10'd0;
        else if (count == 10'd1023)
                        count <= 10'd0;
                else
                        count <= count + 1'b1;

// ++++++++++++++移位反馈寄存器输出+++++++++++++++++++++
parameter        sink_real_initial  = 8'b1001_1111;
wire n;               
                        
assign n= sink_real[6]^sink_real[5]^sink_real[0];

always @ (posedge i_clk or negedge reset_n)
        if (!reset_n)
                        begin
                                sink_real <= sink_real_initial;
                            sink_imag = 8'b0;
                        end
        else if (sink_eop == 1)
                          begin
                                sink_real <= sink_real_initial;
                            sink_imag = 8'b0;
                        end
                 else  sink_real[7:0] <= {n,sink_real[7:1]};
                 
//+++++++++++++输入控制+++++++++++++++++++++++++++++++++++++

//+++++++++++++结束标志+++++++++++++++++++++++++++++++++++++
always @ (posedge i_clk  or negedge reset_n)
        if (!reset_n)  sink_eop <= 1'b0;
        else if  (count == 8'd254)
                          sink_eop <= 1'b1;
                else
                          sink_eop <= 1'b0;
                          
//+++++++++++++开始标志+++++++++++++++++++++++++++++++++++++
always @ (posedge i_clk  or negedge reset_n)         
        if (!reset_n)
                sink_sop <= 1'b1;
        else
                if (sink_eop==1)
                        sink_sop <= 1'b1;
                else
                        sink_sop <= 1'b0;

assign        sink_valid = (source_ready == 1'b1)? 1'b1 : 1'b0;

IP_steaming  FFT_TP_streaming(
                                                        .clk(i_clk),                                                                                         
                                                        .reset_n(Reset_n),
                                                        .inverse(inverse),
                                                        .sink_valid(sink_valid),
                                                        .sink_sop(sink_sop),
                                                        .sink_eop(sink_eop),
                                                        .sink_real(sink_real),                  
                                                        .sink_imag(sink_imag),
                                                        .sink_error(sink_error),
                                                        .source_ready(source_ready),
                                                        .sink_ready(sink_ready),
                                                        .source_error(source_error),
                                                        .source_sop(source_sop),
                                                        .source_eop(source_eop),
                                                        .source_valid(source_valid),
                                                        .source_exp(source_exp),
                                                        .source_real(source_real),
                                                        .source_imag(source_imag)
                                                        );

endmodule
发表于 2012-9-18 10:20:27 | 显示全部楼层
is an OpenCore Plus time-limited file……出现这个估计应该是Quartus II没破解好,或是这个IP核不能免费使用……
发表于 2013-8-26 15:39:27 | 显示全部楼层
请问一下楼主的问题解决了没有呢?是不是破解的问题呢??楼主好人,帮忙给解答一下好不?
发表于 2013-8-26 15:48:00 | 显示全部楼层
楼主我想问一下你的这个程序是对的吗?为什么只有一个 i_clk还有一个reset_n是输入,其他的都是输出呢?这个和那生成的IP核不大一样哦!原来我还是个刚接触FPGA的小白!!!求解答一下好吗?
发表于 2013-8-26 16:08:47 | 显示全部楼层
sink_valid,
sink_sop,
sink_eop,
sink_error,
inverse,
source_ready,
sink_real,
sink_imag,
这些不应该是输入码??
发表于 2013-8-27 11:23:53 | 显示全部楼层
楼主在吗?我来虚心请教的
发表于 2013-9-22 17:14:35 | 显示全部楼层
楼主在吗?请问您的程序仿真出来结果对吗?我最近也在做IP核的例化,不知是例化的问题,还是哪里的问题,仿真出来的FFT的结果和matlab算出来的结果不一样,很想请教您一下。耐心等您的回复。
发表于 2015-2-11 12:36:04 | 显示全部楼层
工程路径有中文名或空格
发表于 2016-3-29 16:28:47 | 显示全部楼层
回复 1# shijianyizhan

楼主,请问你这个问题解决了吗?我现在也是遇到了跟你相同的问题,是不是版本破解的不全呢,如何解决呢,我使用的是cyclone iv
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-23 00:17 , Processed in 0.030165 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表