在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 14385|回复: 3

[求助] 关于modelsim的readmemh的问题

[复制链接]
发表于 2012-9-12 10:27:40 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 moodangel 于 2012-9-12 10:38 编辑

我的问题如下。

在testbench(top.v)里面写到

initial begin
    $readmemh("a.txt",memory0);
    $readmemh("c.txt",memory1);
en

modelsim报出来的错误

# ** Warning: (vsim-7) Failed to open readmem file "a.txt" in read mode.
#
# No such file or directory. (errno = ENOENT)    : E:/tt/tt/top.v(25)
#    Time: 0 ns  Iteration: 0  Instance: /top
# ** Warning: (vsim-7) Failed to open readmem file "c.txt" in read mode.

路径是对的?我放把a.txt,c.txt都放在了top.v的同一个目录下面。

请告诉高手解答一下,谢谢了。
发表于 2012-9-12 10:44:10 | 显示全部楼层
$readmemh中指定路径试试
 楼主| 发表于 2012-9-12 10:57:12 | 显示全部楼层
ls,你太厉害了。感谢你啦
 楼主| 发表于 2012-9-12 10:58:25 | 显示全部楼层
请问你可以再解决我一个问题吗?
modelsim里面是如何看频率的呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-29 01:14 , Processed in 0.026544 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表