在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4256|回复: 12

[求助] verilog测试代码编写问题,急急

[复制链接]
发表于 2012-9-12 10:13:39 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大神们,请问下当我用verilog语言写测试代码时,相对模块内部的信号赋值并仿真,该怎么做?比如我有一个顶层模块top.v,里面有个模块是ram.v,现在我想对ram.v中的信号a赋初值,并且监测a赋值后a的变化,想这么做该怎么写测试代码啊??
 楼主| 发表于 2012-9-12 10:15:06 | 显示全部楼层
a是top.v的内部信号,不是top.v的输入输出信号
发表于 2012-9-12 14:42:53 | 显示全部楼层
可以单独对ram.v写一个测试激励做仿真就成
发表于 2012-9-12 15:03:16 | 显示全部楼层
RAM单独测试
发表于 2012-9-12 16:31:34 | 显示全部楼层
force top.ram.a = 1可以不?
发表于 2012-9-12 18:58:40 | 显示全部楼层
一般信号可以force,也就是楼上所说的。
如果是要初始化RAM,可以用$readmemX这种PLI函数来初始化RAM,一般仿真器都支持。
发表于 2012-9-13 17:28:29 | 显示全部楼层




    同意,一般用$readmemb和$readmemh这两个函数!!
发表于 2012-9-13 23:51:50 | 显示全部楼层
嗯,这个问题很基础哦~
发表于 2012-9-14 14:44:09 | 显示全部楼层
你要看你这A信号在RAM内部是属于什么 时钟信号 还是使能信号 或者是地址还是什么的, 然后从下往上推断,直到顶层种的相关信号, 然后在顶层信号添加专门的激励
发表于 2012-9-14 16:02:21 | 显示全部楼层
·define  my_var sti.top.ram.a

module sti();
.....

   initial  begin
    #1000 `my_var = 1;
   end

   always @ (`my_var)
        $display("%b",`my_var)
.....
.....


endmodule
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 23:57 , Processed in 0.035356 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表