在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3358|回复: 0

[求助] 初学者,写的增量式pi控制器,哪位大神有空能不能帮忙给看看,谢谢!!

[复制链接]
发表于 2012-8-23 14:55:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
QQ截图20120823143105.png
就是根据这个式子写的,其中e(t)是误差,其中没有计算微分,只计算了,比例跟积分,Post & Route Simulation,behavioral simulation和post-translate simulation都过了,但post-map simulation没有过,出现都是红色的线,不知道下载后能不能正常运行。
entity pi is
    Port ( clk : in  STD_LOGIC;
         reset:in std_logic;
           sample : in  STD_LOGIC;
           error : in  STD_LOGIC_VECTOR (17 downto 0);
           yout : out  STD_LOGIC_VECTOR (35 downto 0));
end pi;
architecture Behavioral of pi is
signal kp:std_logic_vector (17 downto 0);
signal ki:std_logic_vector (17 downto 0);
signal old:std_logic_vector (17 downto 0);
signal dif:std_logic_vector (17 downto 0);
signal p1:std_logic_vector (35 downto 0);
signal p2:std_logic_vector (35 downto 0);
signal y:std_logic_vector (35 downto 0);
signal oldy:std_logic_vector (35 downto 0);

component my_mutl port(
A:IN STD_LOGIC_VECTOR(17 DOWNTO 0);
B:IN STD_LOGIC_VECTOR(17 DOWNTO 0);
P:OUT STD_LOGIC_VECTOR(35 DOWNTO 0));
END COMPONENT;-------ip核定制的乘法器
begin

process(clk,reset)
begin
if reset='1' then
kp<="000000000000000010";
ki<="000000000000000010";
old<="000000000000000010";
oldy<=(others=>'0');
elsif clk'event and clk='1' then
    if sample='1' then
     old<=error;
   oldy<=y;
  end if;
end if ;
end process;
dif<=error-old;----------------------------old为上个采样周期的error的值,dif为本次误差与上次采样时误差的差值
mutl1:my_mutl port map(dif,kp,p1);
mutl2:my_mutl port map(ki,error,p2);
y<=oldy+p1+p2;--------------------------oldy为上次采样时的控制器输出值
yout<=y;
end Behavioral;
下面是post-translate simulation的一个仿真图
QQ截图20120823144301.png
哪位大神帮忙给指点下,看正确吗,因为对这方面不是很了解,十分感谢!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 17:26 , Processed in 0.020770 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表