在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4867|回复: 14

[求助] 请教用verilog给存储器建模的问题

[复制链接]
发表于 2012-7-25 09:58:28 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我想建模一个类似于个指令存储器差不多的内存,参考了一些资料,写出以下代码
未命名.jpg
我是想给Imemory里的每个字都赋值,但是仿真结果出来时Imemory里面什么值都没有啊
我用shu去读Imemory里的每个字,读出来的结果都是xxxxxxxx。
请教一下这个verilog错了吗?
如果错了,请问要建立一个类此于存储器的东西如何写verilog代码啊?
谢谢!
发表于 2012-7-25 10:57:31 | 显示全部楼层
memory  赋初值?

看下 $readmemh 或者 $readmemb 吧
发表于 2012-7-25 11:04:23 | 显示全部楼层
如果只是赋初值,用$readmemh 或者 $readmemb
读出来是xxxx,应该是因为单元没写入(非阻塞赋值)。
发表于 2012-7-25 11:23:45 | 显示全部楼层
存储器赋值不应该是那样赋值吧!不应该是一个一个的来赋值么
发表于 2012-7-25 11:34:44 | 显示全部楼层
我觉得你这个完全可以用IP核来实现啊,如果是想赋初值,IP核生成的时候可以用一个COE文件来说明的。不知道你用的时哪个软件
发表于 2012-7-25 12:55:19 | 显示全部楼层
初学,共同关注
 楼主| 发表于 2012-7-25 16:52:04 | 显示全部楼层
回复 2# my2817


    恩,是想给寄存器堆赋初值,您的方法我看到过,我会尝试的。
 楼主| 发表于 2012-7-25 16:55:18 | 显示全部楼层
回复 3# hylhp0


    哦,那就是说我写的语句没有给寄存器堆赋值的咯,感谢您的解答。
求问除了readmemh和readmemb这个方法外,类似于我这种给寄存器堆一个一个寄存器赋值过去的语句有吗?谢谢
 楼主| 发表于 2012-7-25 16:56:44 | 显示全部楼层
回复 4# 陶志妖妖


   我写的是类似于寄存器堆的东西啊,只有一次性给寄存器堆写入数据吗?没有给寄存器堆一个个寄存器写入数据的方法吗?
 楼主| 发表于 2012-7-25 17:00:31 | 显示全部楼层
回复 5# 陶志妖妖


   我仿真用的是synopsys的VCS,还没接触过存储器的IP,我暂时只想建模一个类似于存储器的东西,用于仿真我的整个带存储器的电路。
但好像就是因为这个存储器的语句写的有问题,导致我整个电路无法仿真
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 04:50 , Processed in 0.033503 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表