|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
package my_pkg;
import uvm_pkg::*;
class a extends uvm_component;
    `uvm_component_utils(a)
    function new(string name,uvm_component parent);
        super.new(name,parent);
    endfunction
    virtual task run_phase(uvm_phase phase);
        `uvm_info(get_full_name,"starting...",UVM_NONE)  // ?????? 为什么仿真会在这里结束?
// uvm_test_dond.raise_objection(this); ???????如果加了raise_objection语句,仿真就能继续往下走,难道仿真一开始(进入run phase后第一时间)发现objection中的count为0就立即结束?不太明白此处仿真结束的原则,请达人指点!!!!
        #10;  
        `uvm_info(get_full_name,"ending...",UVM_NONE)
    endtask
endclass
endpackage
module top
  import uvm_pkg::*;
  import my_pkg::*;
    a my_a;
    initial begin
        my_a=new("my_a",null);
        run_test();
    end
endmodule |
|