在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5402|回复: 6

[求助] uvm新手,请教一个仿真结束的问题,多谢

[复制链接]
发表于 2012-6-25 22:49:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
package my_pkg;
import uvm_pkg::*;
class a extends uvm_component;
    `uvm_component_utils(a)
    function new(string name,uvm_component parent);
        super.new(name,parent);
    endfunction

    virtual task run_phase(uvm_phase phase);
        `uvm_info(get_full_name,"starting...",UVM_NONE)  // ?????? 为什么仿真会在这里结束?
        // uvm_test_dond.raise_objection(this);   ???????如果加了raise_objection语句,仿真就能继续往下走,难道仿真一开始(进入run phase后第一时间)发现objection中的count为0就立即结束?不太明白此处仿真结束的原则,请达人指点!!!!
        #10;  
        `uvm_info(get_full_name,"ending...",UVM_NONE)
    endtask
endclass
endpackage

module top
  import uvm_pkg::*;
  import my_pkg::*;
    a my_a;
    initial begin
        my_a=new("my_a",null);
        run_test();
    end
endmodule
发表于 2012-6-26 22:11:51 | 显示全部楼层
是的,当发现count=0,则会进入下一个XXX_phase。所以你想在某个XXX_phase停留来执行相应功能,需要先调用raise_objection,再调用drop_objection来控制什么时候进入下一个XXX_phase。
发表于 2012-9-11 23:14:15 | 显示全部楼层
楼上正解
发表于 2012-9-12 16:13:26 | 显示全部楼层
2楼正解,这是objection机制,
在某个phase raise_objection就表示投反对票,
只有有人投反对票,这个phase就不会结束。
要等到所有人都不投反对票时,才会进入下一个phase,
个人感觉一般是在virtual sequence中 raise_objection/drop_objection
发表于 2012-10-17 13:26:59 | 显示全部楼层
回复 4# lvbuwei20040815


   存在一种场景:  有多个raise_objection提起,在一个进程中,如果检测到某个信号,需要强制把所有objectioin都drop掉,来强制进入下一个phase,这个该怎么做呢?
发表于 2012-10-18 15:45:55 | 显示全部楼层
回复 5# dragontail


  raise<=>drop

or
finish
发表于 2012-10-18 21:36:57 | 显示全部楼层
直接phase.jump(xxx_phase::get())就行,它会自动清除objection
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-10-5 14:16 , Processed in 0.028139 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表