在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 66077|回复: 244

[原创] ADC matlab simulink model (update the model)

[复制链接]
发表于 2012-6-16 04:19:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 sumig 于 2012-10-22 21:47 编辑

前几天粗略整理了一下手头上的几个ADC matlab模型,已经发在前一个帖子里,不过觉得不是特别好用。
这里再上传一份自己重做的12B200M 1.5bit/stage pipelined ADC simulink model,model里采样率暂时设置的是160M,当然也可以设置其他任何的频率,然后.m程序的相关参数修改合适即可;另外一个是指标是10B100M 2.5bit/stage。
Model包含了opamp gain error、caps mismatch造成的gain error、比较器offset、器件噪声等非理想因素,可以双击模块后进行修改。
可以双击二选一开关进行切换,选择加入或者不加入器件噪声,可以根据实际需要添加高阶非线性更多非理想效应,也可以修改成每级多bit的结构。
model里包含了理想的一阶瞬态建立特性,但是没有添加到信号通路上,如果想严格评估这忙面的影响,需要修改每级模型,和实际情况一样的增加清零相。
先打开model直接仿真,然后运行.m程序,就能看到相应的动态和静态结果,如果仿真数据超出了内存容量,可以采取减少仿真长度或少保存数据等措施。
另外,因为用了很多零散的模块,所以仿真速度受到了一定影响,把输出冗余位改成十进制编码可以省掉一些全加器等,具体大家根据自己喜好来就可以了。

注意:model里采样电容例子填写的有点小错误,比如2.5bit时采样电容应该填写0.2*4pF(Cs+Cf),少写了个4倍,否则计算KT/C会偏大,这里就不在更新model了,大家在使用时注意自己再修改一下就行了~

10bit 100MSPS 2.5bit/satge Pipelined ADC模型,以及计算和仿真结果对比(由于caps mismatch基于random产生,因此每次运行的结果不相同,多运行几次模型就可以了):
10B100M_2.5bit_per_Stage_Pipelined_ADC.rar (42.4 KB, 下载次数: 1935 )

12B200M:
12B200M_pipelined_adc_simulink_model.rar (37.37 KB, 下载次数: 2031 )

这个model增加了运放一阶建立特性,ADC输出采用十进制编码,仿真速度更快一些:
pipelined_adc_wo_background_cal_dec.rar (24.84 KB, 下载次数: 1687 )

这个model增加了清零相和和运放的一阶建立特性,更接近实际电路动作,仿真速度慢:
pipelined_adc_wo_background_cal_reset.rar (35.03 KB, 下载次数: 1687 )

Snap1.gif
发表于 2012-6-16 21:21:49 | 显示全部楼层
介绍下撒
发表于 2012-6-17 09:26:58 | 显示全部楼层
兄弟您做的model真的非常的好,感謝您的熱心提供^__^
发表于 2012-6-17 14:25:53 | 显示全部楼层
不能从外部链接下载不知道怎么搞的?
发表于 2012-6-17 18:47:17 | 显示全部楼层
感謝大大提供這麼好用的model
发表于 2012-6-18 09:03:40 | 显示全部楼层
学习了
发表于 2012-6-18 10:45:28 | 显示全部楼层
Good Reference for everyone!!!
发表于 2012-6-19 00:41:07 | 显示全部楼层
强大,学习一下.............
发表于 2012-6-19 21:32:26 | 显示全部楼层
回复 1# sumig

哈哈哈 ,被我找到了
发表于 2012-6-20 16:26:19 | 显示全部楼层
了解一下什么ADC,多谢楼主
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 09:31 , Processed in 0.029763 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表