在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: X6J6P6

[求助] 关于create_clock和create_generated_clock的约束问题?

[复制链接]
发表于 2013-10-12 22:54:26 | 显示全部楼层
回复 8# 上善若水8888

上善若水8888 :你好
我也遇到了同样的问题 不知道你理解了generated_clock的含义没有
我使用了下面的约束语句:
create_clock -name clk -period 10 [get_ports clk]
set_clock_latency 1 [get_clock clk]
create_generated_clock -name clk_4div -divide_by 4 -source [get_ports clk] [get_pins clk_4div_reg/Q]

按照帖子中说的,不需要指定generated_clock的latency
但是在我使用report_timing指令报告clk_4div的路径时,它的clock network delay为0,也就是说 master clk 的 clock_latency并没有传递过来

不知道后来你是怎么解决这个问题的,望指教!!!
发表于 2014-5-22 23:52:00 | 显示全部楼层
发表于 2014-5-23 08:37:14 | 显示全部楼层
同问。latency的确没有继承,timing分析就不准确了呀
发表于 2014-8-18 17:44:09 | 显示全部楼层
同问,哪位大神帮忙解说一下?
发表于 2014-8-19 21:22:33 | 显示全部楼层
只有当master clock具有propagated属性,并且generated clock未定义source latency时,从时钟才会继承主时钟的latency属性。详细man set_clock_latency.我把那段截出来放这里好了

衍生时钟的latency的继承条件

衍生时钟的latency的继承条件
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-7-5 23:21 , Processed in 0.024146 second(s), 8 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表