在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7221|回复: 7

[原创] 关于cadence spectre仿真ADE 输出结果数据有效位的问题

[复制链接]
发表于 2012-4-20 16:51:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大虾,大家好,小弟在此先谢过,小弟在ADE里边跑完仿真以后,plot outs输出图线,拉条竖线看输出结果的时候,为什么我的小数位只显示三位啊,比方说图中Vout 显示4.062V,但是我想看4.062##,换句话说,我想看到5位小数,请问我应该如何设置啊?
数据.jpg
数据.jpg
 楼主| 发表于 2012-4-20 16:52:14 | 显示全部楼层
不好意思传了两次图。。。
发表于 2012-4-24 00:26:16 | 显示全部楼层
In .cdsenv:  auCore.misc labelDigits int 6
In .cdsinit: aelPushSignifDigits(6)
发表于 2012-4-24 00:32:19 | 显示全部楼层
顶贴。
发表于 2013-3-17 21:11:53 | 显示全部楼层
楼上的回答解答了俺们的疑问啊
发表于 2023-4-20 17:04:19 | 显示全部楼层
IC6的adexl里:options->Outputs Formatting里有这个设置
发表于 2023-4-23 14:28:32 | 显示全部楼层


sara9233 发表于 2023-4-20 17:04
IC6的adexl里:options->Outputs Formatting里有这个设置


我用函数弄完之后又马上看到你的回答,感谢感谢
发表于 2023-6-13 10:06:58 | 显示全部楼层
请问explore仿真有类似的设置吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 17:17 , Processed in 0.029767 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表