在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: jason1988

[求助] 关于PT的问题,求解?

[复制链接]
 楼主| 发表于 2012-2-8 14:58:22 | 显示全部楼层
回复 7# 陈涛


    加入了 path_type full之后,看到的那个文件 怎么感觉跟一开始的延时数都不一样。。。。 并且我加了 check_type host。。。
发表于 2012-2-8 16:18:19 | 显示全部楼层
那个uncertainty不是造成这个问题的原因。呵呵,只是想提醒楼主检查一下sdc文件,不仅是PT的,还要检查encounter里用的。看了你最新的报告,发现encounter的报告中,capture路径上QI00300的delay为0,而在PT中这个cell的delay为1.61。能解释一下吗?
发表于 2012-2-8 16:29:35 | 显示全部楼层
FF的clock path(clk-->reg/H02)出的问题,自己安装下面的思路去检查原因,
1)在PT里面,为什么network latency 没有了?
2)在encounter里,为什么前3个cell的延迟为0?
3)为什么前后两次encounter报的clock path延迟不一样?
 楼主| 发表于 2012-2-8 17:09:46 | 显示全部楼层
回复 13# 陈涛


    为啥encounter两次打印出来的路径延时 是不一样的。。如果按照下面的不是不能满足slack的要求了吗。。。。我重新report也是这个结果额。。。
 楼主| 发表于 2012-2-8 21:53:35 | 显示全部楼层
回复 13# 陈涛
小弟刚开始用pt,很不熟悉,多谢哈。
FF的clock path(clk-->reg/H02)出的问题,自己安装下面的思路去检查原因,
1)在PT里面,为什么network latency 没有了?
2)在encounter里,为什么前3个cell的延迟为0?
3)为什么前后两次encounter报的clock path延迟不一样?



1)这个是不是因为我在PT里面 设置了 set extract_model_with_clock_latency_arcs true的缘故,其他好像没什么相关,PT的sdc文件里面 clock latency 是0.2 的
2)在encounter中 我把一个时钟选择器设为no_propagate了,所以选择器就没延时了,,但为什么PAD没延时就搞不懂了。。。
3)clock path 是同一次的,就是加了path_type full  出现的结果,但没列clk buf 和列了clk buf的结果咋差那么大。。

**************
我在PT的SDC中开启了 set driving cell,效果好了一些,但还是有VIOLATION
 楼主| 发表于 2012-2-8 21:59:42 | 显示全部楼层
回复 12# dalingemail


    SDC里面简略报告和详细报告不一样,一个是有的,但一个是没的。,我也不知道为什么会这样。。。
 楼主| 发表于 2012-2-9 12:57:24 | 显示全部楼层
回复 13# 陈涛


    是不是 就是因为在encounter里面 clk的那个PAD没有延时,导致的?
 楼主| 发表于 2012-2-9 12:58:36 | 显示全部楼层
回复 12# dalingemail


    encounter 设置的问题? 我的sdc文件好像没设置过让时钟理想化的啊
发表于 2012-2-9 17:41:16 | 显示全部楼层
回复 18# jason1988


    你在encounter中,建立完时钟树后,请用 reset_clock_latency [get_clocks iCLK],把你之前设置的network latency给去掉。然后,set_propagated_clock [all_clocks] 。因为这时的network latency已经可以计算出来了。
    还有用reportAnalysisMode看看clock_Propagation 那项是不是 sdcContrl。

    PS: 呃,我这能猜的都猜完了。。还不行的话,请楼主把你在encounter中用的sdc也贴出来吧。。这帖子看得我好揪心,有种越陷越深的感觉。O(∩_∩)O哈哈~
 楼主| 发表于 2012-2-9 18:15:00 | 显示全部楼层
回复 19# dalingemail


    谢谢哈,非常感谢~~~ 我试试看行不行,
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 10:46 , Processed in 0.027339 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表