在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3068|回复: 2

[求助] dft hold time violation problem

[复制链接]
发表于 2012-2-7 11:25:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
In the design, both of posedge and negedge of clock is used.

dc automatically insert test_si for negedge of clock, as shown below



but the log report hold time for test_si violate:




  1.   --------------------------------------------------------------------------
  2.   clock (input port clock) (rise edge)                    0.00       0.00
  3.   clock network delay (ideal)                             0.00       0.00
  4.   input external delay                                    0.00       0.00 r
  5.   test_si2 (in)                                           0.00       0.00 r
  6.   tposemem/test_si2 (tposemem_test_1)                     0.00       0.00 r
  7.   tposemem/Bisted_DPR64x16/test_si1 (Bisted_DPR64x16_test_1)
  8.                                                           0.00       0.00 r
  9.   tposemem/Bisted_DPR64x16/BistCtrl_i0/test_si1 (BistCtrl_DPR64x16_test_1)
  10.                                                           0.00       0.00 r
  11.   tposemem/Bisted_DPR64x16/BistCtrl_i0/S55/test_si (ST_MAG_DPR64x16_test_1)
  12.                                                           0.00       0.00 r
  13.   tposemem/Bisted_DPR64x16/BistCtrl_i0/S55/S5_reg[0]/SI (SDFFNHX8)
  14.                                                           0.00       0.00 r
  15.   data arrival time                                                  0.00

  16.   clock CLK (fall edge)                                  10.00      10.00
  17.   clock network delay (ideal)                             0.00      10.00
  18.   tposemem/Bisted_DPR64x16/BistCtrl_i0/S55/S5_reg[0]/CKN (SDFFNHX8)
  19.                                                           0.00      10.00 f
  20.   library hold time                                       0.05      10.05
  21.   data required time                                                10.05
  22.   --------------------------------------------------------------------------
  23.   data required time                                                10.05
  24.   data arrival time                                                  0.00
  25.   --------------------------------------------------------------------------
  26.   slack (VIOLATED)                                                 -10.05



复制代码


the slack is about half of clock period.

How to solve the problem? Need i constraint test_si?
发表于 2012-2-10 20:48:14 | 显示全部楼层
问题在于在实际设计中你的TEST-SI是有CLK还是CLKN驱动?
即便是CLK,你可以用LOCKUP LATCH解决
发表于 2012-2-11 10:33:18 | 显示全部楼层
>>Need i constraint test_si?   
YEs you should defined it is triggered CLK or CLKN
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-29 19:04 , Processed in 0.023387 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表