在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7233|回复: 17

[讨论] 版图后PT分析的时序没有问题,可是后仿真时却出现了问题!

[复制链接]
发表于 2012-2-6 10:20:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 XIDIANCAD2 于 2012-2-6 10:23 编辑

我的设计版图生成后用PT进行时序分析,建立时间和保持时间满足(encounter里分析建立时间和保持时间也满足),可是做后仿真时却和前仿真不一样了。出现了很多信号的不定态。郁闷呀!按理说PT静态时序分析OK了,设计应该没问题了呀!出现这种情况一般是什么地方出现了问题。希望以前遇到过类似问题的帮我指点下!
发表于 2012-2-6 10:37:52 | 显示全部楼层
你的sdc文件约束不够完善,很多function下的东西没有被约束到,这个和后端无关,和综合的designer有关系。
 楼主| 发表于 2012-2-6 10:43:48 | 显示全部楼层
回复 2# ttder


    哦,就是说跟综合的TCL约束语句有关系。有的路径没有约束到对吧?
 楼主| 发表于 2012-2-6 10:45:07 | 显示全部楼层
回复 3# XIDIANCAD2


    除了这种可能性外还有没有可能是其他情况呢?你以前遇到过类似的是吗?谢谢你
发表于 2012-2-6 10:48:58 | 显示全部楼层
也有其他原因,好比你的simulation model和你的Lib(尤其是analog的)对不上,这主要是由于analog disgner对ditgital电路的工作原理不太熟悉的缘故。其实你可以在pt里面去报一下那些有violation的电路,看看他们的setup和hold在pt里面是什么样的,再分析一下,就很快知道了。
 楼主| 发表于 2012-2-6 10:53:07 | 显示全部楼层
回复 2# ttder

我的综合脚本是:
read_verilog /....v
current_design eua2308_dap_ram
link_design eua2308_dap_ram
set_driving_cell -lib -cell DFFN -pin Q -no_design_rule [all_inputs] -library fs90a_c_generic_core_tt2p5v25c
set_wire_load_model -name enG50K -lib fs90a_c_generic_core_tt2p5v25c
set_wire_load_mode enclosed
set_operation_conditions -analysis_type wc_bc-min BBCOM -min fs90a_c_generic_core_ff2p75vm40c.db -max WWCOM -fs90a_c_generic_core_ss2p25v125c.db
create_clock_period 38 -waveform{0 19} PLL_CLK
set_load 5e-1[all_outputs]
set_drive 0 {PLL_CLK}
set_dont_touch_network {PLL_CLK}
set_clock_transition 1 PLL_CLK
set_clock_latency 2e-1 PLL_CLK
set_clock_uncertainty -setup 0.3 [get_clocks PLL_CLK]
set_clock_uncertainty -hold 0.2 [get_clocks PLL_CLK]
set_input_delay 2 [remove_from_collection [all_inputs] [get_ports PLL_CLK]] -clock PLL_CLK
set_output_delay 1 [all_outputs] -clock PLL_CLK
应该没什么问题吧?
 楼主| 发表于 2012-2-6 10:58:25 | 显示全部楼层
回复 5# ttder


    我现在只是对数字部分做后端仿真,还没有与模拟部分一起混合仿真。PT里分析建立时间和保持都OK,且用report_violatoters没有violate路径。对了,你用于版图后PT分析的spef文件是用什么产生的?QRC还是starxt还是直接在encounter timing菜单下的 RC extraction产生的?我怀疑是不是我的spef文件有问题
 楼主| 发表于 2012-2-6 11:55:33 | 显示全部楼层
回复 5# ttder


    会不会是测试激励的问题才导致后仿真不通过?
发表于 2012-2-6 11:56:26 | 显示全部楼层
建议还是先好好了解一下设计流程,不然问题会越来越多.
发表于 2012-2-6 12:44:53 | 显示全部楼层
增加一些margin, design uncertainty
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-7-14 12:00 , Processed in 0.045697 second(s), 10 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表