在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6767|回复: 15

求助:请问关于windows下用modelsim使用verilog和SystemC进行验证的问题

[复制链接]
发表于 2006-11-22 21:38:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问哪位好心的高手,我在solaris下验证搭testbench时写检测模块的时候,用SystemC写.h文件定义发送激励和接收结果的模块,同时用verilog写.v文件提供Systemc对verilog的接口,而.h对应的.cpp文件实现模块的功能,现在我想在windows下用modelsim搭建同样的环境,请问可以实现吗?ModelSim中如何在连接C++目标文件时加入所需要的其它库文件。
发表于 2006-11-30 01:54:25 | 显示全部楼层

回复 #1 catfish 的帖子

Modelsim 6.2 supports SystemC and VHDL/Verilog. See 6.2 user SE tutorial.

modelsim_se_tut.pdf

1.6 MB, 下载次数: 70 , 下载积分: 资产 -2 信元, 下载支出 2 信元

6.2 User Guidance

发表于 2006-11-30 07:14:20 | 显示全部楼层

回复 #1 catfish 的帖子

Yes, you can. I've done similar thing
发表于 2006-12-28 18:19:43 | 显示全部楼层
应该多看看modelsim的帮助文档
 楼主| 发表于 2007-1-12 09:56:07 | 显示全部楼层

谢谢

谢谢各位了,问题已经解决了
发表于 2007-5-16 10:49:12 | 显示全部楼层
虽然有很多人不讲话,但还是又很多高手在这里!
发表于 2007-6-21 01:57:01 | 显示全部楼层
不是不说,是不知道,这不是来看看
发表于 2007-12-21 20:39:30 | 显示全部楼层

111

可以啊,很好用
发表于 2008-10-11 20:34:25 | 显示全部楼层
赞, 不错
发表于 2010-1-3 09:05:15 | 显示全部楼层
thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 11:03 , Processed in 0.028501 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表