在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7813|回复: 11

[求助] FPGA使用Timequest分析PLL时钟出现问题,求大神啊

[复制链接]
发表于 2012-1-6 20:36:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
altera.jpg

今天想试一下Quartus的Timequest,写了一段小程序:
从port(clk)引入50MHz时钟,然后经过锁相环产生一个200MHz的时钟clk_200,利用这个200MHz的时钟clk_200控制一个加法器的输出。程序很简单,但是在利用Timequest进行时序分析的时候,出现了上面图的情况,上图表示可以跑到1G多了,这个 情况求问大神是怎么回事?

我的SDC文件是这样写的:
create_clock -name {clk} -period 20.000 -waveform { 0.000 10.000 } [get_ports {clk}]
create_generated_clock -name {clk_200} -source [get_ports {clk}] -multiply_by 4 -master_clock {clk} [get_pins {PLL_inst|altpll_component|auto_generated|pll1|clk[0]}]


其中clk[0]是PLL生成的。

最后说下我使用的FPGA板子是stratixIII的。
求问各位大神这是什么情况呢?
发表于 2012-1-6 21:21:28 | 显示全部楼层
这个FMAX是分析你现在的电路最大能够跑到的速率,和你的约束没有关系!
 楼主| 发表于 2012-1-6 21:33:18 | 显示全部楼层
回复 2# neoitachi


    FMAX居然可以跑到1G多MHz,这明显有点不可信,要是我不加PLL的话再做时序分析肯定跑不到这么快,主要问题还是在PLL这一块的时序约束和分析
发表于 2012-1-6 21:48:41 | 显示全部楼层
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.仔细看看这一段
发表于 2012-1-6 21:56:54 | 显示全部楼层
做STA的时候主要看的不是这个,主要是setup,hold,removal,recovery summary!
发表于 2012-1-9 10:05:40 | 显示全部楼层
回复 5# neoitachi


   虽然STA是分析建立时间和保持时间,但是根据建立时间和保持时间也是能够算出时钟的大小的。在这个分析中,slack可以达到4ns,而约束PLL出来的时钟为200MHz,即5ns,这样的slack显得还是太大了吧。FPGA根据这个slack以及组合逻辑的器件延时以及估计的线延时是可以估计出最大时钟吧。   我想LZ想问的是那个Fmax是怎么算出来的,是表示什么。
发表于 2012-1-9 10:37:59 | 显示全部楼层
design 太小?
200MHz, period = 5ns, 考慮clock skew, slack =4ns.
我能想到的就是你的design 很小
 楼主| 发表于 2012-1-9 13:54:59 | 显示全部楼层
回复 7# blackAJ


    恩对,看来是高手啊~一看就看出来了~这个里面只做了一个2位的加法器,其实仅仅就是为了演示到底PLL的输入时钟和输出时钟是怎么约束的
 楼主| 发表于 2012-1-9 14:02:23 | 显示全部楼层
回复 4# neoitachi


    它的意思是不是就是说只分析相同时钟,所以STA之后系统时钟能跑到280多MHz,之所以PLL之前的时钟能跑到1G多~主要是因为系统中根本就没用这个时钟,唯一的和它相关的就是PLL的输入时钟,能跑到1G多Hz当然是可能的哟~

按这样的想法,我猜Timequest中分析这2个时钟根本就没去管PLL的输入和输出时钟的倍频关系哟~它只是按照综合和布局布线之后的电路图中的每条路径来进行分析~
发表于 2012-1-10 11:32:56 | 显示全部楼层
他的意思不是PLL能跑到GHz.
意思是你的design worst case下 delay 小於 1ns, 所以"理論上"能跑到GHz.
你的design 我猜是使用PLL 輸出的clock.  所以Timequest當然不會管PLL 輸入clock.
此外如果你的constraint下對, 而且design中確實有跨 source clock 與 pll clock
Timequest是會考慮倍頻的.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 10:23 , Processed in 0.051186 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表