在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 14951|回复: 3

[求助] Cell Library中nom_voltage和nom_process 两个参数是什么意思?

[复制链接]
发表于 2011-12-31 21:51:39 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如题,有谁知道Cell Library中nom_voltagenom_process 两个参数是什么意思?


library (vsclib013) { /* Post layout timing */
technology (cmos) ;
/* choose one delay_model */
/* delay_model      : generic_cmos ; */ /* Prop-Ramp */
delay_model      : table_lookup ; /* LUT */
date             : "06-Jan-08" ;
revision         : 0.10.1 ;
comment          : "Copyright (c) 2004-2008 Malta Open Silicon Libraries. Released under the LGPL." ;


time_unit
: "1ps" ;

voltage_unit
: "1mV" ;

current_unit
: "1mA" ;

pulling_resistance_unit : "1kohm" ;
capacitive_load_unit (1.00,ff) ;
leakage_power_unit      : "1pW" ;
nom_voltage             : 1.2 ;
nom_process             : 1.0 ;
nom_temperature         : 27.0 ;


operating_conditions (NOM_1.2_27) {
  process     :  1.0 ;
  voltage     :  1.2 ;
  temperature : 27.0 ;
  tree_type   : "balanced_tree" ;
}
 楼主| 发表于 2011-12-31 21:54:35 | 显示全部楼层
不知道发这个版块合适不。有没有高手帮忙解答一下,小弟急用,在此先谢过啦!
发表于 2012-1-4 09:03:43 | 显示全部楼层
The nom_process attribute define process scaling,one of the nominal operating conditions for a library.The nom_voltage attribute defines voltage,one of the nominal operating conditions for a library .
     Library Compiler creates the default operating conditions by using the values specified for the nom_calc_mode,nom_voltage,and nom_temperature attributes and by assuming a value of balanced_tree for the tree_type attribute.
 楼主| 发表于 2012-1-4 11:29:41 | 显示全部楼层
回复 3# cxl666

字面意思看懂了,但还是不知道是什么意思?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 06:29 , Processed in 0.027843 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表