在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6862|回复: 25

[求助] verilog 16进制译码难题解决

[复制链接]
发表于 2011-11-30 12:38:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
always @ (cnt1)

case(cnt1)

4'h0:begin led_bit<=8'h7f;sma_bit<=8'hff;end

4'h7:led_bit<=8'hfe;

4'h6:led_bit<=8'hfd;


4'h5:led_bit<=8'hfb;

4'h4:led_bit<=8'hf7;

4'h3:led_bit<=8'hef;

4'h2:led_bit<=8'hdf;

4'h1:led_bit<=8'hbf;  

4'h8:begin sma_bit<=8'hfe;led_bit<=8'hff;end

4'h9:sma_bit<=8'hfd;


4'ha:sma_bit<=8'hfb;

4'hb:sma_bit<=8'hf7;

4'hc:sma_bit<=8'hef;

4'hd:sma_bit<=8'hdf;

4'he:sma_bit<=8'hbf;

4'hf:sma_bit<=8'h7f;

default:begin led_bit<=8'hff;sma_bit<=8'hff;end

endcase
请教大家我这样子写有错吗
用QUARTUS2 5.1综合仿真时出错了当CNT1=0001——0111时,led_bit正常,sma_bit老是等于0111_1111;
原代码全部内容在附件中

新建 文本文档.zip

807 Bytes, 下载次数: 12 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2011-11-30 22:10:20 | 显示全部楼层
1.组合逻辑中怎么使用"<="?
2. 每一个4‘h : 中 把 sma_bit和led_bit都写全了  ,
例如4’h0:begin led_bit=8'h7f;sma_bit=8'hff;end
      4’h1:begin led_bit=8'hbf;sma_bit=8'h00;end  
。。。。。。。
3.always @ (*)即可;
4.检查外围电路 上拉  下拉 。
发表于 2011-12-1 08:49:58 | 显示全部楼层
用ISE ISim仿了一下,信号是正常的,当CNT1=0001——0111时,sma_bit是等于1111_1111;
仿真图如下:
仿真图.JPG
发表于 2011-12-1 09:00:45 | 显示全部楼层
4'h0:begin led_bit<=8'h7f;sma_bit<=8'hff;end

4'h7:led_bit<=8'hfe;

4'h6:led_bit<=8'hfd;


4'h5:led_bit<=8'hfb;

4'h4:led_bit<=8'hf7;

4'h3:led_bit<=8'hef;

4'h2:led_bit<=8'hdf;

本来就是“sma_bit<=8'hff”啊,0~7的时候值都没有变过,只有led_bit有变化
发表于 2011-12-1 09:11:26 | 显示全部楼层
sma_bit怎么可能是7f 呢? 结果应该是 ff 的吧!  楼主没打错?
 楼主| 发表于 2011-12-2 00:14:50 | 显示全部楼层
没有打错,用QUARTUS2 5.1综合仿真时出错了当CNT1=0001——0111时,led_bit正常,sma_bit老是等于0111_1111;
后面我用SYNplify仿真和QUARTUS2 7.0仿真都是正常的
一楼说的方法是可以解决问题,但是不解为什么?
 楼主| 发表于 2011-12-2 00:15:50 | 显示全部楼层
回复 5# hsboyfxj


   没有打错,
 楼主| 发表于 2011-12-2 00:17:10 | 显示全部楼层
发表于 2011-12-2 08:56:33 | 显示全部楼层
代码不完整,tb也没有,你这样没法帮你分析。我觉得很有可能是之前你的cnt1的值有过0xf这个时期,导致4'hf:sma_bit<=8'h7f
所以之后cnt1为1~7的时候sma_bit一直为0x7f
发表于 2011-12-2 16:18:40 | 显示全部楼层
非时序模块可以不采用非阻塞赋值,这个里面有可能是sma_bit的值虽然已经改变,但是还没有赋值给左边的表达式。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 02:43 , Processed in 0.036854 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表