在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 12445|回复: 19

[求助] Cadence中的schematic导出verilog网表的问题

[复制链接]
发表于 2011-11-22 00:19:34 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教各位大,在cadence中的schematic,比如一个nmos和pmos组成的反向门,想导出成晶体管级(用nmos, pmos表示)的verilog网表,在cadence中怎么操作呢?多谢~
发表于 2012-3-7 23:25:43 | 显示全部楼层
最近也在解决这个问题
直接export的办法貌似不行,可以间接导出~
在schemetic 下 选simulation中的NC_verilog,在弹出的窗口点generate netlist 。
则在工程目录下生成一个run文件夹,进去之后可找到顶层和各个cell的网表,分别在cdsXX目录的netlist里。
不过导出的网表的连线名字变了,不是schemetic 中的连线名,不过可以通过生成map文件查看名字的映射关系
发表于 2012-3-8 10:41:01 | 显示全部楼层
回复 1# alphavor_jay


    三楼方法可以,不过用的人少,可能很多问题。
发表于 2012-5-9 20:54:16 | 显示全部楼层
这个简单其实。
发表于 2012-7-10 14:30:19 | 显示全部楼层
回复 5# conghung2012

简单的话,如何实现的呢?还烦请告知,谢谢!
邮箱:whynoreason@163.com
发表于 2012-7-11 09:30:30 | 显示全部楼层
期待呀
发表于 2012-11-19 15:10:34 | 显示全部楼层
回复 5# conghung2012


    是怎么弄得呀?我也想知道能告诉吗?谢谢
发表于 2013-1-29 13:09:42 | 显示全部楼层
也在学习中,不胜感激!!有答案,麻烦给一份308618897@qq.com
发表于 2015-10-22 16:21:47 | 显示全部楼层
本帖最后由 子学 于 2015-10-22 16:29 编辑

回复 3# hua2011sz

请问利用NC_Verilog导出网表时出现如下错误:
“Error  eval: unbound variable — vlogifCurrentTestFixture”
如何解决,请大神赐教!!!
发表于 2019-5-18 11:41:03 | 显示全部楼层


子学 发表于 2015-10-22 16:21
回复 3# hua2011sz

请问利用NC_Verilog导出网表时出现如下错误:




您解决了吗,是怎么解决的啊,求帮忙,啊

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 13:25 , Processed in 0.036463 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表