在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3709|回复: 3

[求助] ICC中做时钟树

[复制链接]
发表于 2011-10-9 15:19:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教前辈们:在icc中做cts时,clock_opt和compile_clock_tree两个引擎使用时有啥区别?
                 做树的效果哪个更好?
发表于 2011-10-9 17:40:05 | 显示全部楼层
简单的话就clock_opt好了,没有特殊要求和特别的控制能力,就别compile_clock_tree + optimize_clock_tree了,

我做下来,感觉clock_opt 不差的,
不过有个问题:
compile_clock_tree -clock_trees  XX 可以控制一个clock  一个clock做
clock_opt能分别控制么

就像place_opt 比 create_placement  + legalize_placement + psynopt 简单一样
发表于 2011-10-9 17:48:46 | 显示全部楼层
建议楼主自己跑一下两个命令,然后看下log
发表于 2011-10-9 23:05:47 | 显示全部楼层
icc推荐用clock_opt
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 01:05 , Processed in 0.024272 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表