在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9798|回复: 5

[讨论] 为什么有时需要create一个virtual clock,然后input/output delay和它相关

[复制链接]
发表于 2011-9-7 23:26:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如题。
为什么有时需要create一个virtual clock,然后input/output delay和它相关?


让input/output delay 和某个real clock相关会有什么问题呢?
发表于 2011-9-7 23:54:05 | 显示全部楼层
没什么问题,  主要是牵涉到cts之后 clock tree latency怎么反映到I/O clock上

如果是real clock , 那么propagated 后, i/o clock自动加上这段latency, 也正是需要的

如果是virtualclock, 需要人工指定如何adjust, 如
set_latency_adjust_options -from real_CLK -to virtual_CLK ( ICC command ) ,

virtual clock的好处忘记了, 反正对于IO clock控制, real和vitual都行的,
如果要省事情, 就real clock好了,
发表于 2012-3-19 14:43:20 | 显示全部楼层
回复 2# icfbicfb

请问:
   post sta时,如何在pt中处理virtual clock 哪??    有相关的command支持么?
发表于 2012-3-19 23:37:44 | 显示全部楼层
其实这看你如何理解set_input_delay了,input_delay约束始终本来就是指片外的时钟,用虚拟时钟才合情合理
发表于 2012-3-20 10:49:48 | 显示全部楼层



嗯, 确实如此。

我感觉virtual clock也不是很好, 因为毕竟没有launch 和 capture 的真实 clock latency。应该回到top level去看block2block的path。
发表于 2013-4-9 09:19:50 | 显示全部楼层
本帖最后由 ikey 于 2013-4-9 09:21 编辑

请参考这里陈大大的《后端面试 每日一题 061》提出的问题及其解答,有提到在cts之后虚拟时钟解决in2reg,reg2out vio起到的作用。
http://bbs.eetop.cn/viewthread.p ... =%BA%F3%B6%CB%2B061
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 21:03 , Processed in 0.021687 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表