在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3461|回复: 1

[求助] 求大虾详细说明下system verilog中#0时延的作用

[复制链接]
发表于 2011-8-10 09:30:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如题,谢谢:)
 楼主| 发表于 2011-8-10 13:48:31 | 显示全部楼层
举个书上的例子吧
program no_auto;
    initial begin
        for (int j=0; j<3; j++)
            fork
                 $wirte(j);
            join_none
        #0 $display ("test");
    end
endprogram

/***************************************/
程序的输出为:
test
     3     3     3

而书上的结果是:
     3     3    3
test

如果将“#0” 改为"#1",输出为:
     3     3     3test
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 09:26 , Processed in 0.014700 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表