在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2614|回复: 2

[求助] 对用VHDL编写的Leon3进行综合时产生的库问题

[复制链接]
发表于 2011-7-19 10:05:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位高手,我在对基于Leon3的处理器进行综合时(用的是ISE12.3版本的xst工具),它总是错误提示为library grlib can not found ,所以我想知道,在使用ISE工具时,如何进行配置才能使其找到自己所编写、命名的库呢?明白的指点一下啊,非常感谢!!
发表于 2011-7-19 12:10:50 | 显示全部楼层
把库文件也加到工程里就可了。
如果不行,试试把设置顶层的方式切到manual order下,勾选库文件再试试。
 楼主| 发表于 2011-7-20 19:57:25 | 显示全部楼层
回复 2# jackertja


    谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-16 02:15 , Processed in 0.020383 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表