在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4003|回复: 5

[求助] 请教关于clock tree spec

[复制链接]
发表于 2011-6-9 15:00:12 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用encounter 做clock 综合自动产生Clock.ctstch文件。

发现sdc里面create_generated_clock语句对应生成的throughpin在每个ClockRootPin下都是一样的。

这里请问是不是create_generated_clock一定要对应一个ThroughPin在Clock.ctstch文件中??

对于上面提到的ThroughPin在每个ClockRootPIN下面都相同的情况是否是正常的?

如果不正常该如何修改?

谢谢!
发表于 2011-6-9 17:36:32 | 显示全部楼层
属正常情况。可以不用修改。不影响分析。
你会发现有些ClockRootPIN往下trace,根本就不会经过ThroughPin。呵呵。这时你可以选择删除。
 楼主| 发表于 2011-6-9 21:24:03 | 显示全部楼层
谢楼上回复!
如果不影响,那为什么会出现呢?
而且有时候该有的还没有哦!
发表于 2011-6-10 09:50:49 | 显示全部楼层
encounter自己找到的through pin有时并不可靠
我的做法是把所有through pin都检查一遍,不需要的去掉,少了的加进去。把所有的through pin都写在一起,然后贴到每个clock tree下面。即使在一个clock root 下找不到所有的through pin也不会出错
 楼主| 发表于 2011-6-10 21:31:03 | 显示全部楼层
多谢陈岛主!
发表于 2012-3-29 11:20:22 | 显示全部楼层
回复 4# 陈涛


   请问在ICC中这种情况如何处理呢?多谢。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 11:26 , Processed in 0.022622 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表