在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2278|回复: 2

[求助] 关于延迟

[复制链接]
发表于 2011-6-7 10:01:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
not #(1:3:5,2:4:6)
        (a_,a),
          (b_,b),
           (c_,c);
nand #(2:4:6, 3:5:7) (y,im1,im2,im3,im4);
这些延迟是怎么看的?  都表示什么到什么的延迟啊?
发表于 2011-6-7 10:33:10 | 显示全部楼层
#()表示parameter,看NAND内部的specify中看各个delay是怎么标注的
2:4:6是一个延时(min:typical:max),1:3:5是另外一个延时
这个例子说明NAND内部有两个延时,你要看看NAND怎么用这些parameter specify的
假设a,b输入, Y输出
我猜应该是a到y的延时,以及 b到y的延时
 楼主| 发表于 2011-6-7 10:51:30 | 显示全部楼层
回复 2# warmheard


    谢谢了,我懂了哈
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 09:21 , Processed in 0.017311 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表