在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2996|回复: 2

[求助] 问个modelsim inout 的问题

[复制链接]
发表于 2011-6-3 11:53:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
现在在DUT 中有一组inout pin  DD(assign DD = oe?dd_out:8'hzz)。当DD输出数据时oe =1,向总线输出数据;然后置oe =0 ,使DD为输入状态。


我的问题是在DD输出数据,oe=0后,modelsim仿真时总线上的数据变成了高阻态 ,并没有保持希望输出的数据(Testbench在这个时候一直是输入状态)。这个问题怎么解决?
发表于 2011-6-3 12:19:22 | 显示全部楼层
oe=0后,管脚是输入状态,相当于总线已经没有了驱动,怎么可能保持希望输出的数据呢?
要保持输出的数据,就需要让oe=1,直到不需要保持时,置oe=0
 楼主| 发表于 2011-6-3 14:33:08 | 显示全部楼层
直到不需要保持时??DUT输出数据后,不知道TEST什么时候读总线上的数据,那怎么知道要保持多长时间.而且如果TEST不读数据,直接向总线上写数那该怎么办?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-6 04:30 , Processed in 0.030451 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表