在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: ghonghu

[讨论] 设置了output delay,再设置set_load还有很大意义吗?

[复制链接]
发表于 2011-10-3 22:08:12 | 显示全部楼层




   楼上的这位 说的是对的~举例来说~
如果set_output_delay了, 那么DC会根据这个delay值来综合内部的logic,如果这个delay很大,最后的output出去的flop后面可能就不跟什么combo logic了~ 但最终drive output port的cell 不一定需要驱动很大,只要能满足时序即可
如果set_load了, 那么DC会根据这个cap的值 来计算output port用不同的driving cell 所产生的delay值,进而综合内部的logic,但最后的drive output port的cell一定会是一个driving 能力比较强的cell,否则会产生很大的delay,以致不满足时序~

一般来说 set_output_delay和set_load是可以一起用的~ 不冲突,对外部环境模拟更真实~
发表于 2011-10-27 16:04:49 | 显示全部楼层
版主,可不可以这样理解:set_load是设置本级可以驱动的下一级的大小?
发表于 2013-1-8 14:05:45 | 显示全部楼层
回复 11# optihack


    学习了,也就是说set_load用在综合时以选择输出端口的驱动能力,如果在时序分析中的output capacitance load 的设定是不是要根据综合时设定的大小来取值呢?
如果一般的设计的话,还没有确定要多大的驱动能力,这个负载选多大可以呢?有个通用值吗?
发表于 2014-6-1 14:54:47 | 显示全部楼层
点赞,讲的挺清晰的,两个概念
发表于 2015-11-27 16:35:47 | 显示全部楼层
mark 一下
发表于 2016-8-17 22:23:45 | 显示全部楼层
学习了~
发表于 2020-7-30 13:49:25 | 显示全部楼层
马住
发表于 2021-4-27 18:02:34 | 显示全部楼层
看了各位大神的讨论,个人理解一下,不知道对不对:
set_output_delay通过约束留给外面(就当是pcb板子吧)的时间来约束芯片内部的logic的综合方式,要保证信号能在规定的时间内到达port外;
set_load是约束port上的驱动能力,这个驱动力弱了,通俗的讲,影响的是port外信号跳变的斜率。当然效率太小了,对内部信号的延时也有一点点影响,因为跳转到高电平的触发点晚了。
set_output_delay个人感觉理解应该是对的,
set_load不知道对不对,感觉是这样,但是不是非常有把握。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 01:26 , Processed in 0.024086 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表