在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3662|回复: 9

[讨论] 时序分析通过了,后仿真不过!

[复制链接]
发表于 2011-4-2 10:05:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
时序分析通过了,后仿真不过!一般都是什么地方出问题了,怎样调啊?
发表于 2011-4-2 11:21:02 | 显示全部楼层
后仿增加了SDF反标吗,testbench要注意门延时了,这种情况我碰到过,行为仿真时没有考虑门延时的问题,DC后,再加上SDF反标,netlist就有实际电路的延时,需要改动TB
发表于 2011-4-2 11:23:41 | 显示全部楼层
出现什么情况,被你认为不过呢?不定态扩散?还是数据混乱错误?有可能是激励上的时序问题。
 楼主| 发表于 2011-4-2 13:47:19 | 显示全部楼层
数据混乱,大部分变为未知了“X”
发表于 2011-4-2 15:43:22 | 显示全部楼层
1)看log,SDF反标是否成功?仿真是否有Timing-violation?
2)看波形,可以看出反标是否成功,若是一个cell的delay正好是整数(如1ns)这样的一般都是反标的不对。
3)波形和timing-report对应,理论上来说,波形上一个cell的delay和timing-report上一个cell的delay是一致的,所以可以相互对应。这样就可以发现问题所在。
发表于 2011-4-3 22:52:38 | 显示全部楼层
出现x很可能是寄存器初始化问题,或者复位释放时间的问题,太多可能
了,不一定是逻辑问题。
发表于 2011-4-4 11:25:26 | 显示全部楼层
你前仿真通过了,后防没通过,如果你使用modelsim文件,加延时文件正确,表明时序还是有问题,你的时序分析是用什么分析的?所有路径都分析了么?
发表于 2011-4-4 20:14:48 | 显示全部楼层
估计是x状态的问题,将这些x状态现force掉,后面再release
发表于 2017-5-11 19:48:27 | 显示全部楼层
回复 5# fanstics


   请问 什么情况会导致反标失败呢?库不匹配?
发表于 2017-5-12 12:53:04 | 显示全部楼层
post-sim 除了timing 問題,還有functionality 的問題
樓上幾位把可能的原因大概都列上了
還有一點可能的原因,就是STA timing constraint coverage 不夠
例如,某條true path 沒有constraint 或是誤設成false_path
可以用report_analysis_coverage確認
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 15:59 , Processed in 0.029951 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表