在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2216|回复: 0

[求助] 请教一个dc问题,关于多时钟选择的约束

[复制链接]
发表于 2011-2-23 09:57:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
具体问题如下:
系统由外部时钟和内部振荡器时钟两个输入,通过一个组合电路(包括分频模块和数据选择器模块)后供给整个系统工作,
ext_clock      |            |
              ----|组合电路|------clk,在试验中,我把clk设定为时钟(因为后面的约束都是以他为参考的),那他的源如何设置呢?
in_clock        |            |

我用的是命令:create_generated_clock   -name   -add  -source  -master_clock    -divide_by

请各位指点一下,是在是不知道如何下手啊 !!

(我刚才实验了下下,就单纯的设定3个时钟,呵呵 显然是不行的,就是为了看看结果。结果提示ext_clock无法以clk作为参考而添加约束)呵呵
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-2 01:43 , Processed in 0.019829 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表