在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3513|回复: 13

[讨论] 请教一个FPGA的问题

[复制链接]
发表于 2011-1-14 08:54:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
想请教各位高手一个问题:
FPGA中,if的嵌套最好不要超过几个?
跟频率有关么?比如说如果我的模块时钟只有1MHz,那么是否就可以多嵌套几个?

做FPGA也有快一年了,别说keep hardware in mind了,
我现在简直就是no hardware in mind,呵呵,各位有什么好的方法给传授传授?

我用的是xilinx的FPGA,在MAP中有个FPGA EDITER,可以看到代码生成的硬件电路是什么样子的,
可是里面的symbol我不清楚是什么东西(比如说管角对应的是什么等),有没有什么相关的资料可以参考一下?
发表于 2011-1-14 09:24:32 | 显示全部楼层
这个就不是入门搞的了,靠经验。
多看看UserGuide吧。
发表于 2011-1-14 09:34:23 | 显示全部楼层
4到5个时,ASIC .18um 100M以下一般都没问题。
频率要求高的,要早做打算。

FPGA看型号、LUT结构。

可以先限制到4个,出问题了再考虑优化。
另外,设计时先考虑一下,case实现资源少还是if少。
 楼主| 发表于 2011-1-14 10:08:38 | 显示全部楼层
呵呵,谢谢楼上几位的回答
还想请教
xilinx 的FPGA EDITER里面的各种symbol的说明文档在哪能找到?
发表于 2011-1-14 10:49:15 | 显示全部楼层
if嵌套太多 不仅仅是影响速度的问题

还说明你一个进程里逻辑关系相对复杂 很容易有逻辑bug

要深入理解硬件并行的概念

建议从编码风格开始 最好一个进程控制3-4个if



另外,如果你想快速提高,闷头做闷头看没有用的

一个捷径是:去找一个IP核,开源的都可以

仔细看人家的编码风格、设计思路

等你全部弄懂了 你的水平就上升了一个档次
发表于 2011-1-14 10:56:11 | 显示全部楼层
跟着学习
发表于 2011-1-14 11:30:59 | 显示全部楼层
代码写出来,综合一下就知道了,这个与器件有关系。
一般情况不会这个不会成为关键路径的。
我写的一个时钟下完成3个12bit的加法都可以在V5跑到120M。
 楼主| 发表于 2011-1-14 13:42:19 | 显示全部楼层
回复 5# chit_wps


    十分感谢5楼的回答。我会按照你的建议多去看看别人的code style,学习学习。
    但我现在面临的问题是:VHDL是硬件描述语言,所以我想从根源上,知道我的每一句话生成的是什么硬件,这样我看别人的代码的时候,我不光知道它好,我还知道它好在哪里。
   请问有什么好的方法?
发表于 2011-1-14 21:16:11 | 显示全部楼层
来学习了
发表于 2011-1-14 23:25:18 | 显示全部楼层
来学习了。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-29 05:57 , Processed in 0.031991 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表