在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4798|回复: 5

[求助] 如何修改verilog 同时打开的输出文件数?

[复制链接]
发表于 2010-11-24 21:43:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
目前知道verilog1995标准下的同时打开文件数只有32个,悲剧的是我需要同时打印64个通道的数据。。。。。

好像在书上看到2001标准的verilog可以支持到230个文件同时打开,
用modelsim仿真的时候,如何设定才能保证可以全部打开64个文件句柄用来读写文件呢?

modelsim 提示
No mcd channels available
查了一下,mcd只有32位,并且最高位和最低位不能用,所以只能打开30个文件。

大家有没有办法同时打开230个文件啊?




谢谢~
发表于 2013-1-7 22:12:23 | 显示全部楼层
NC-Verilog也遇到过这个问题,现在搞定了

In verilog-2001, there is a single $fopen for both multi-channel descriptors (MCD) and file descriptors (FD).
Whether an FD or MCD is produced is indicated by the presence of a mode string added to $fopen in verilog-2001:
     file = $fopen("filename", "w");    // FD
     file = $fopen("filename");         // MCD

简单的说:
        file = $fopen("filename", "w");
                能打开30个以上的文件

    file = $fopen("filename");
                最多打开30个文件,但是这样打开的句柄可以做或运算,实现多文件同时输出:
                        file_ab = file_a | file_b;
                        $fdisplay(file_ab, ”stringxxx”); //同时输出到A、B两个文件
发表于 2013-1-7 23:38:41 | 显示全部楼层
ding!!!!!!!!!!!
发表于 2013-2-19 14:46:47 | 显示全部楼层
本帖最后由 HP_ccyz2012 于 2013-3-21 15:23 编辑

研究下2楼的,好像有点问题
发表于 2013-3-24 14:59:01 | 显示全部楼层
是在不行,可以都打在一个文件里,用标签以区分,在用perl分开
发表于 2015-2-5 12:42:28 | 显示全部楼层
回复 1# roc2007


   请问,这个问题如何解决呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 08:32 , Processed in 0.016167 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表