在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3487|回复: 0

[招聘] 公司最近招后端人员8~10名

[复制链接]
发表于 2010-11-7 09:34:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 icfbicfb 于 2010-11-8 20:15 编辑

公司主打高端 services , 现在因项目发展需要,
招后端人员8~10人,在上海工作 ,
有意者请发简历至 galaxy2004@gmail.com


PD design Lead :
Job Description:
•        Responsible for lead a team of 10 physical design engineer and
drive physical design implementation of complex SoCs (Netlist-to-GDSII). Work
on block level or SoC level physical design in 65nm/40nm/28nm technology
•    Be responsible for team day to day operation
•       Provide technical guidance on floorplan, placement, routing,
clock tree synthesis, timing closure, signal integrity fixing, DRC/LVS
Requirements:
•        BS, MS, PhD, in computer engineering or electrical engineering
•        MUST have at least 5 years of experience in physical design
•        Must have experience of successfully tapeout complex chips
•    Must have the experience on team management
•        Hands on experience with tools like ICC (Synopsys), SocEncounter
(Cadence)  or Talus (Magma)
•        Working knowledge of STA(Primetime), power analysis, DRC/LVS a
plus
•        Understanding of deep sub-micron design problems and solutions
(leakage power, signal integrity, DFM etc.)
•        Working knowledge of RTL-to-Netlist such as synthesis, DFT is a
plus but not a requirement
•        Programming experience in Perl/Tcl a big plus


PD design engineer :
Job Description:
•        Responsible for physical design implementation of complex SoCs
(Netlist-to-GDSII). Work on block level or SoC level physical design in
65nm/40nm/28nm technology.
•        Floorplan, placement, routing, clock tree synthesis, timing
closure, signal integrity fixing, DRC/LVS
Requirements:
•        BS, MS, PhD, in computer engineering or electrical engineering
•        MUST have at least 2-3 years of experience in physical design
•        Must have successfully taped out at least one complex chip
•        Hands on experience with tools like ICC (Synopsys), SocEncounter
(Cadence)  or Talus (Magma)
•        Working knowledge of STA(Primetime), power analysis, DRC/LVS a
plus
•        Understanding of deep sub-micron design problems and solutions
(leakage power, signal integrity, DFM etc.)
•        Working knowledge of RTL-to-Netlist such as synt
plus but not a requirement
•        Programming experience in Perl/Tcl a big plus
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-6-22 22:28 , Processed in 0.039485 second(s), 9 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表