在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 27677|回复: 35

[原创] 这是神马功耗报告。。。。欢迎用过PTPX进来讨论!

[复制链接]
发表于 2010-10-31 19:49:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
熟悉PTPX工具的帮我看看,这个功耗报告的意思是说我的芯片功耗有12瓦特吗? 为什么Sequential/Internal Power会这么大?
出现这种异常,有什么可能的原因吗?

Attributes
  ----------
      i  -  Including register clock pin internal power
      u  -  User defined power group

                        Internal  Switching  Leakage    Total
Power Group             Power     Power      Power      Power   (     %)  Attrs
--------------------------------------------------------------------------------
io_pad                  8.537e-04 1.717e-06 4.199e-03 5.055e-03 ( 0.04%)
memory                  7.305e-03 1.769e-06 2.632e-04 7.570e-03 ( 0.06%)
black_box               3.994e-12 4.460e-07    0.0000 4.460e-07 ( 0.00%)
clock_network              0.0000    0.0000    0.0000    0.0000 ( 0.00%)  i
register                   0.0000    0.0000    0.0000    0.0000 ( 0.00%)
combinational              0.0473    0.0674 3.491e-06    0.1147 ( 0.88%)
sequential                12.9002 8.735e-04 2.282e-06   12.9010 (99.02%)

  Net Switching Power  =    0.0683   ( 0.52%)
  Cell Internal Power  =   12.9556   (99.44%)
  Cell Leakage Power   = 4.468e-03   ( 0.03%)
                         ---------
Total Power            =   13.0283  (100.00%)

X Transition Power     = 2.404e-06
Glitching Power        = 5.876e-04

Peak Power             =  247.7877
Peak Time              = 21126579.250
发表于 2010-11-1 17:54:58 | 显示全部楼层
靠,你的功耗好大啊!!
呵呵,随便问问,你读入saif文件或者vcd文件了吗?
不然默认的toggle rate是1哦
 楼主| 发表于 2010-11-2 11:24:32 | 显示全部楼层
谢谢回复,我读入了VCD文件。
我的VCD是Dump了整个设计中所有的波形产生的,大的恐怖,达到了80G。
dump是在verilog的testbench里面做的,如下:
        initial
        begin
                #3_000_000_000
                $dumpfile ("XDPTOP.vcd");
                $dumpvars;
                #3_000_000_000
                $dumpoff; //停止记录
        end
请问大侠们,这样做对吗?我这个文件产生出来也太大了!!!
发表于 2010-11-2 18:21:23 | 显示全部楼层
有检查vcd反标的时候有多少成功反标吗?
也许没标上。
发表于 2010-11-3 15:34:12 | 显示全部楼层
本帖最后由 manofgod 于 2010-11-3 15:35 编辑

LZ,小菜鸟弱弱问个问题
我在做PrimeTime PX,在read_vcd的时候报:
The power model is different from the delay model in the library. (PLIB-161)
这里说的power model , delay model 指的是什么?
我在执行下面命令的时候报error
create_power_waveforms -output power -format out -cycle_accurate
Error: Can not find any event in the event file. (PWR-248)
大概是什么方面的问题?
发表于 2010-11-3 17:40:02 | 显示全部楼层
你的第二个问题是toggle没标上
另外如果你要用create_power_waveforms就只能用vcd而不能使用saif
 楼主| 发表于 2010-11-9 14:44:31 | 显示全部楼层
谢谢大家的关注,看看我最新的功耗报告,好像正常了诶

benjaminweber 大侠提示我注意的反标,我看了下,标上了,报告如下:

======================================================================
Summary:
Total number of nets = 137678
Number of annotated nets = 137678 (100.00%)
Total number of leaf cells = 130684
Number of fully annotated leaf cells = 130684 (100.00%)
======================================================================

最后的报告如下:


****************************************
Report : Statistical Average Power
Design : XDPTOP
Version: C-2009.06-SP3
Date   : Sat Nov  6 04:01:16 2010
****************************************



  Attributes
  ----------
      i  -  Including register clock pin internal power
      u  -  User defined power group

                        Internal  Switching  Leakage    Total
Power Group             Power     Power      Power      Power   (     %)  Attrs
--------------------------------------------------------------------------------
io_pad                  1.084e-03 2.317e-06 4.200e-03 5.287e-03 ( 7.58%)  
memory                  7.477e-03 1.854e-06 2.631e-04 7.741e-03 (11.10%)  
black_box                  0.0000 6.420e-07    0.0000 6.420e-07 ( 0.00%)  
clock_network              0.0332 5.165e-03 6.347e-07    0.0384 (55.04%)  i
register                1.509e-03 1.102e-03 2.684e-06 2.614e-03 ( 3.75%)  
combinational           3.791e-03    0.0119 3.877e-06    0.0157 (22.52%)  
sequential              2.883e-06 1.188e-06 3.786e-09 4.075e-06 ( 0.01%)  

  Net Switching Power  =    0.0182   (26.08%)
  Cell Internal Power  =    0.0471   (67.51%)
  Cell Leakage Power   = 4.470e-03   ( 6.41%)
                         ---------
Total Power            =    0.0697  (100.00%)

总功耗69mW,这和我的设计预期差不多。

我在之前犯的一个错误时没有吧SDC文件吃进来,当时以为只要有VCD,就可以了。
现在我理解,是不是VCD文件只是表明了信号之间的变化关系,但是没有把绝对时间周期考虑进来?
有经验的解释下吧,反正我是把SDC吃进来功耗评估貌似正常了
发表于 2010-11-25 21:11:39 | 显示全部楼层
楼主请问:
1.跑仿真的时候只用了一个route后的网表,没有加sdf,这样生成的VCD文件是不是就反标不上?
2.read_vcd 命令中-strip_path参数是不是一定要加?它后面跟的又是什么东西呢?
期待中
发表于 2010-12-16 23:07:23 | 显示全部楼层
组里同事用过,我还没有用过...
发表于 2011-8-18 19:18:24 | 显示全部楼层
楼主说的对,sdc里面会包含时钟的信息,另外对功耗有影响的还有input transition和output load,不过后面两个影响不是很大,主要还是时钟。另外楼上说的默认翻转率怎么会是1呢?那不成时钟了?以前是0.5,现在改成0.1了。楼主给的信息不是很全,不知道你的网表的具体标注情况,可以尝试用report_switching_activity生成报告看下每种类型net的标注情况。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 02:31 , Processed in 0.049590 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表