在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2166|回复: 1

VHDL新手问题

[复制链接]
发表于 2006-10-2 11:12:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问各位,怎样用Vhdl描述一个可变输入数目(用generic)的multiplexer?
我写不出architecture

library        ieee;
use        ieee.std_logic_1164.all;
package mytype is
        type vector_array is array(natural range<>) of std_logic_vector(7 downto 0);
end package;

library        ieee;
use        ieee.std_logic_1164.all;
use               work.mytype.all;

entity mux501 is
        generic(n: integer:= 2);         
        port(din: in vector_array(0 to 2**n-1);
        sel: in integer range 0 to 2**n-1;
        dout: out std_logic_vector(7 downto 0));
end mux501;

architecture mux501_arch of mux501 is
begin
    --不知道怎么写?
end mux501_arch;

不胜感谢!!!!
发表于 2006-10-5 00:32:38 | 显示全部楼层
faint,如果端口位宽和端口数目都可变,那就用FOR LOOP和ARRAY INDEX;如果只是端口数目可变(本例),就直接用ARRAY INDEX....
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 05:11 , Processed in 0.021358 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表