在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5083|回复: 21

[求助] modelsim 仿真小小小小小小问题

[复制链接]
发表于 2010-9-19 17:43:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
为什吗modelsim 仿真代码,仿真出来的波形,只有输入波形(仿真程序里写的),没有输出波形。
刚学,不太会用,用的lattice的软件。
请会的指点一下
多谢
发表于 2010-9-19 19:16:31 | 显示全部楼层
需要simulate一下吧
发表于 2010-9-19 20:04:11 | 显示全部楼层
引用时,在testbench里要将要仿真实体(Entiy)或模块(module)的输出引出
发表于 2010-9-19 20:33:49 | 显示全部楼层
很抽象
发表于 2010-9-19 20:46:11 | 显示全部楼层
 楼主| 发表于 2010-9-20 08:37:59 | 显示全部楼层
原程序:
module demo(
                       input HCLK,
                       input S_HRET,
                       input i_regs,
                       output Relay_ON
);
reg [1:0] Prescale;
reg [11:0] Dcounter;
parameter Utmost = 12'h800;

always @(posedge HCLK)
                begin
                        if (~S_HRET)
                            Prescale <= 2'h0;
                        else
                             Prescale <=  Prescale +1;
                 end

always @(posedge Prescale[1])
                begin
                        begin
                        if (~S_HRET)
                           Dcounter <= 12'hff0;
                        else if (Dcounter <= Utmost)
                            Dcounter <=  Dcouter+1;
                         end
assign Relay_ON = (Dcounter < Utmost) || i_regs;
endmodule
 楼主| 发表于 2010-9-20 08:42:45 | 显示全部楼层
仿真程序
module demo;
reg HCLK;
reg S_HRET;
reg i_regs;
wire Relay_ON;
reg [1:0] Prescale ;
reg [11:0] Dcouter;
parameter Utmost =12'h800;
initial
begin
       HCLK = 1'b0;
      forever #50 HCLK <= ~HCLK;
end

initial
begin
      S_HRET <=1'b0;
      i_regs <=1'b1;

end

endmodule
 楼主| 发表于 2010-9-20 08:45:08 | 显示全部楼层
仿真出来的波形图,只有HCLK,S_HRET,i_regs.
而没有输出的波形图,如presclae,dcouter,relay_on.
这是为什吗?
请各位指点指点!
发表于 2010-9-20 08:47:56 | 显示全部楼层
testbench的模块名称和demo模块的名称一样了,需要将其中一个模块的名称更改一下。
另外,demo模块要在testbench模块中例化一下
 楼主| 发表于 2010-9-20 09:48:39 | 显示全部楼层
9# AmoiBB


例化是什么意思?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 19:08 , Processed in 0.037922 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表